exceive电子数据表[file]Desctext = "传感器放大器TA500-EIPCreateDate=09-17-2020创建时间=16:05:49ModDate=09-17-2020ModTime=16:05:49修订版=1.011_IOC_Disats_License=0x4F21F264VendCode=1628VendName=DoverFlexo电子ProdType=43ProdTypeStr = Generic设备(密钥)ProdCode=500MajRev=1MinRev=1ProdName=Tension放大器TA500EIPCatalog=TA500-EIP图标=EIPAMP.coIconContents = "AAABAAgAICAQAAEABADoAgAAhgAAABAQEAABAAQAKAEAAG4DAAAgIAAAAQAI" "AKgIAACWBAAAEBAAAAEACABoBQAAPg0AAAAAAAABACAAgGQAAKYSAAAwMAAA" "AQAgAKglAAAmdwAAICAAAAEAIACoEAAAzpwAABAQAAABACAAaAQAAHatAAAo" "AAAAIAAAAEAAAAABAAQAAAAAAIACAAAAAAAAAAAAABAAAAAAAAAAAAAAAAAA" "gAAAgAAAAICAAIAAAACAAIAAgIAAAICAgADAwMAAAAD/AAD/AAAA//8A/wAA" "AP8A/wD//wAA////AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAAAAAAAAAAAAAAAHdwAAd0cAAAAA" "AAAAAHd3d3d3d3d3B3d3d3dwAAd3d3eHh3d4h3d3eHd3cAAAeHiIh4h4iHiI" "eIh4h4dwd4iIiIiIiIiIiIiIiIiHcAiIiIiIiIiIiIiIiIiIiHB4iIiIiIiI" "iIiIiIiIiIiACId2dnZ4iIiIiIiIiIiIcAiIZ2dmRoiIiIiIiIiIhwd4h2dn" "eHZ4iIdnd3iIiHcAeIdGdmeGaIiHZGdoiIiAAAiHZoh0d0eIiGaIiIiIdwAI" "iHR4h2h2eHh2eHiIiIAAeIh2aIdndkZHhkZHiIh3AHiIh0eIZ4ZniIdniIiI" "gAAIiIdmdkiHZ4iHRnZ4iHcACIiIdnZ4iHZ4iHZ2aIiAAHiIiIiIiIh2R2dn" "Z3iIh3B4iIiIiIiIh2dnZ2doiIiACIiIiIiIiIiIiIiIiIiIcAeIiIiIiIiI" "iIiIiIiIiAAHeIiIiIiIiIiIiIiIiIdwAHd3h4d4eHh3d3d3d3hwAAB3d3d3" "dwd3d3d3d3d3AAAAAAB3d3AHd3cAAAAAAAAAAAAAB3AAAAcAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA//////////////////7/" "//48P//AAAAHgAAAA4AAAAEAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAMAAAAD" "AAAAAwAAAAMAAAADAAAAAwAAAAMAAAADAAAAAAAAAAAAAAAAAAAAAYAAAAGA" "AAADwAAAB/wYP//+fv////////////8oAAAAEAAAACAAAAABAAQAAAAAAMAA" "AAAAAAAAAAAAABAAAAAAAAAAAAAAAAAAgAAAgAAAAICAAIAAAACAAIAAgIAA" "AICAgADAwMAAAAD/AAD/AAAA//8A/wAAAP8A/wD//wAA////AAAAAAAAAAAA" "AAAAAAAAAAAAB3gHcAAAAAd4h3h3h3dweIiIiIiIiHd4d3eIiIiIh3hndoiH" "eIhwd2dneIZ4iHB4d4Z2d2eIcHh2d3eHZ4hweId4hnd2eHd4iIiId4eIh3iI" "iIiIiIh3B3h3eHd3d3AAB3AHcAAAAAAAAAAAAAAA//8AAP//AADifwAAgAEA" "AAAAAAAAAAAAAAEAAAABAAAAAQAAAAEAAAAAAAAAAAAAAAAAAIABAADmfwAA" "//8AACgAAAAgAAAAQAAAAAEACAAAAAAAgAQAAAAAAAAAAAAAAAEAAAAAAAAA" "AAAAIiIiACUlJQApKSkALS0tADY2NgA5OTkAPj4+AEJCQgBFRUUASUlJAE1N" "TQBVVVUAWVlZAF9fXwBhYWEAZWVlAGlpaQBubm4AcHBwAHd3dwB5eXkAfX19" "AIBBAQCDRgoAhEcLAIRICwCGSxAAhkwSAIhRGgCKVB4AjFglAI5cKgCPXSwA" "kmQ3AJNnOgCUZzoAlGg7AJRpPgCVa0AAlmxCAJduRQCZckoAmXNMAJp1TgCb" "dlEAoIFhAKGDZACihGYApYluAKaLcQCmjHIAqZJ6AKqUfgCBgYEAhoaGAIuL" "iwCNjY0AkpKSAJSUlACampoAnJycAKuXggCsl4MArJiEAK2aiACvn44AsKCQ" "ALOmmQC0qJwAoqKiAKSkpACqqqoAra2tALasogC3rqUAuLCnALiwqQC5sqwA" "urSuALOzswC2trYAu7WwALy4swC8ubUAuLi4AL68ugC+vb0AwL+/AMDAwABb" "/1EAef9xAJj/kQC1/7EA1P/RAP///wAAAAAAFC8AACJQAAAwcAAAPZAAAEyw" "AABZzwAAZ/AAAHj/EQCK/zEAnP9RAK7/cQDA/5EA0v+xAOT/0QD///8AAAAA" "ACYvAABAUAAAWnAAAHSQAACOsAAAqc8AAMLwAADR/xEA2P8xAN7/UQDj/3EA" "6f+RAO//sQD2/9EA////AAAAAAAvJgAAUEEAAHBbAACQdAAAsI4AAM+pAADw" "wwAA/9IRAP/YMQD/3VEA/+RxAP/qkQD/8LEA//bRAP///wAAAAAALxQAAFAi" "AABwMAAAkD4AALBNAADPWwAA8GkAAP95EQD/ijEA/51RAP+vcQD/wZEA/9Kx" "AP/l0QD///8AAAAAAC8DAABQBAAAcAYAAJAJAACwCgAAzwwAAPAOAAD/IBIA" "/z4xAP9cUQD/enEA/5eRAP+2sQD/1NEA////AAAAAAAvAA4AUAAXAHAAIQCQ" "ACsAsAA2AM8AQADwAEkA/xFaAP8xcAD/UYYA/3GcAP+RsgD/scgA/9HfAP//" "/wAAAAAALwAgAFAANgBwAEwAkABiALAAeADPAI4A8ACkAP8RswD/Mb4A/1HH" "AP9x0QD/kdwA/7HlAP/R8AD///8AAAAAACwALwBLAFAAaQBwAIcAkAClALAA" "xADPAOEA8ADwEf8A8jH/APRR/wD2cf8A95H/APmx/wD70f8A////AAAAAAAb" "AC8ALQBQAD8AcABSAJAAYwCwAHYAzwCIAPAAmRH/AKYx/wC0Uf8AwnH/AM+R" "/wDcsf8A69H/AP///wAAAAAACAAvAA4AUAAVAHAAGwCQACEAsAAmAM8ALADw" "AD4R/wBYMf8AcVH/AIxx/wCmkf8Av7H/ANrR/wD///8AAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEA8NAAAAABARCBUA" "AAAAAAAAAAAAAAAAAAAAExMTEhI3NzYQERIRNjcUDAgSExMSExMSExMRAAAA" "ABILOjs7RkdGRkc7Nj1GRkdGOzs6Ozo7Ojs7OhIGAAAABjhISElISUhJSEhI" "SUhJSElISUhJSElISUhISA8NABINUVlZWVlZWVlZWVlZWVlZWVlZWVlZWVlZ" "WVlYPQUABEZXWVlZWVlZWVlZWVlZWVlZWVlZWVlZWVlZWVlVEQgIV1lYV1hX" "WFdYWFhZWVlZWVlZWVlZWVlZWVlZWVhGAwhXWDQpKCgpKSkpQVhZWVlZWVlZ" "WVlZWVlZWVlZSTYBCFdZSisnJycnJBofTllZWVlYWFdYWFhZWVlZWUkNBw0I" "V1gxJycnJy9OLhwwWFlZWDEpKSkpMFRZWVlZPQYAAAhXWCgXICglHC9PJiFM" "WVlYMBofKCgrRVlZWVk9CAAACFdYQBsjT1QuHDA/HDNYWVlNHyJPWFdZWVlZ" "WT0IAAAIV1lSJBxBWFMlIUQkHjM1NUszHDI1PkxZWVlZPQgAAAhXWVk/GSZU" "WUAcNT8aGh0eLUUhGh0dLVRZWVk9CAAACVdZWVQlGkBXSx01VCUfQ0xOVikf" "QktMVllZWT0IAAAIV1lZWEAbISklHEFYQBwzWFlYQBwhKSkwVlhZPQgAAAhX" "WVlZUi0oKCgwVllSJB5BV1hPLCcnJypFWVlHCAAACFdZWVlZV1hYWFhZWVlA" "Gh0oKSgoJycnL1RZWVc2CQIJV1lZWVlZWVlZWVlZWVQwKCgoKCgoKCgrRVlZ" "WVg9AwZQWVlZWVlZWVlZWVlZWVlYWFdXV1dXWFhYWVlZVxYCCBJXWVlZWVlZ" "WVlZWVlZWVlZWVlZWVlZWVlZWVlJCQAABj1VVVVVVVVVVlVVVVVVVlVVVVVV" "VVVVVVVVVRUKAAANEDw9PT09PT09PDo9PT09PT08Oj09PT09PTw3BQAAAAAJ" "Dg4QNzs7OzcRDBU7Ozs4FQ4MDg4ODg4ODgsAAAAAAAAAAAATExINEAAAORAV" "DQ8AAAAAAAAAAAAAAAAAAAAAAAAAAAAREgAAAAAAAAwAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAP/////////////////+///+PD//wAAA" "B4AAAAOAAAABAAAAAQAAAAAAAAAAAAAAAAAAAAAAAAADAAAAAwAAAAMAAAAD" "AAAAAwAAAAMAAAADAAAAAwAAAAAAAAAAAAAAAAAAAAGAAAABgAAAA8AAAAf8" "GD///n7/////////////KAAAABAAAAAgAAAAAQAIAAAAAABAAQAAAAAAAAAA" "AAAAAQAAAAAAAAAAAABYWFgAXFxcAGpqagBycnIAdHR0AHl5eQB+fn4Ajlwq" "AJFjNACUaD0AlWpAAJZsQQCXbkUAmG9HAJlxSgCZc0wAnHlVAJ57WQCefFoA" "n35dAKKEZgCkiW0ApYtwAKeNdACoj3cAqpV/AIGBgQCFhYUAi4uLAI6OjgCW" "lpYAmJiYAJycnACrlYAArJeCAKyXhACsmIUArpuJAK+djQCwoJAAtKeaALSo" "mwC0qJwAoaGhAKSkpACrq6sAra2tALaroQC2rKIAtq6lALmxqgC6tK4AtbW1" "ALy5tQC5ubkAvru5AL68ugC+vr4AwMC/AMDAwACx/+UA0f/wAP///wAAAAAA" "AC8OAABQGAAAcCIAAJAsAACwNgAAz0AAAPBKABH/WwAx/3EAUf+HAHH/nQCR" "/7IAsf/JANH/3wD///8AAAAAAAIvAAAEUAAABnAAAAiQAAAKsAAAC88AAA7w" "AAAg/xIAPf8xAFv/UQB5/3EAmP+RALX/sQDU/9EA////AAAAAAAULwAAIlAA" "ADBwAAA9kAAATLAAAFnPAABn8AAAeP8RAIr/MQCc/1EArv9xAMD/kQDS/7EA" "5P/RAP///wAAAAAAJi8AAEBQAABacAAAdJAAAI6wAACpzwAAwvAAANH/EQDY" "/zEA3v9RAOP/cQDp/5EA7/+xAPb/0QD///8AAAAAAC8mAABQQQAAcFsAAJB0" "AACwjgAAz6kAAPDDAAD/0hEA/9gxAP/dUQD/5HEA/+qRAP/wsQD/9tEA////" "AAAAAAAvFAAAUCIAAHAwAACQPgAAsE0AAM9bAADwaQAA/3kRAP+KMQD/nVEA" "/69xAP/BkQD/0rEA/+XRAP///wAAAAAALwMAAFAEAABwBgAAkAkAALAKAADP" "DAAA8A4AAP8gEgD/PjEA/1xRAP96cQD/l5EA/7axAP/U0QD///8AAAAAAC8A" "DgBQABcAcAAhAJAAKwCwADYAzwBAAPAASQD/EVoA/zFwAP9RhgD/cZwA/5Gy" "AP+xyAD/0d8A////AAAAAAAvACAAUAA2AHAATACQAGIAsAB4AM8AjgDwAKQA" "/xGzAP8xvgD/UccA/3HRAP+R3AD/seUA/9HwAP///wAAAAAALAAvAEsAUABp" "AHAAhwCQAKUAsADEAM8A4QDwAPAR/wDyMf8A9FH/APZx/wD3kf8A+bH/APvR" "/wD///8AAAAAABsALwAtAFAAPwBwAFIAkABjALAAdgDPAIgA8ACZEf8ApjH/" "ALRR/wDCcf8Az5H/ANyx/wDr0f8A////AAAAAAAIAC8ADgBQABUAcAAbAJAA" "IQCwACYAzwAsAPAAPhH/AFgx/wBxUf8AjHH/AKaR/wC/sf8A2tH/AP///wAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwHIAAHBAAAAAAA" "AAAAGyAsLSEfLSwfHyAfHwUAAzU7Ozs7Ozs7Ozs7OzwvBBs0JCMiKDo8PDw8" "PDw8NQEbKw0MEgorPDMjIjI8OxsAGycIFhAVFDgpCRgrPDsHABs2DSUkEg8Q" "FxEQGDk7GwAbPCULFxMjEDImChYwOgcAGzw4JSMxNg8VGQ4MIjseBAY7PDw8" "PDwxIiIiIis7NwIFLjc3Nzc3Nzc3Nzc3NyEbAAYeHyAeHSAfHh0eHh4GAAAA" "AB8cAAAbHwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA//8AAP//AADifwAAgAEA" "AAAAAAAAAAAAAAEAAAABAAAAAQAAAAEAAAAAAAAAAAAAAAAAAIABAADmfwAA" "//8AAIlQTkcNChoKAAAADUlIRFIAAAEAAAABAAgGAAAAXHKoZgAAZEdJREFU" "eNrtvVl6I0mWpakYCILzYMbBRjf3iIyKIb96rl3UAmojvYTaSC2gd9HP3ZmV" "VVmR4WbuNhvnEQRAoM8vIhcQqAE0ggQIkJTrn7iCmAyiqvfIlXOnQpYkSZJH" "K4VJ/4AkSZJMThIAJEnyiCUBQJIkj1gSACRJ8oglAUCSJI9YEgAkSfKIJQFA" "kiSPWBIAJEnyiCUBQJIkj1gSACRJ8ojlMQBAoc/jQfNu5475x9MuhQHH68z1" "Ps0zyYjkoQMA8ytGoxSOhWgg7Wi0NC7D0ca0K0c8n3ieNuLrHM8zP1d7Lckj" "kYcMALEyzGhUwuBxOTwfAwCK0NRoaNTDaGRdBZlmxSiE+ZT7zDUGvSzrKn0z" "mmc9/G1zTfJI5CEDgCn/rEZVYyGM+fCcgQBiCnGhcaZxGkYtPDftimHKPxvm" "Z3Nl3pXwGufDgK4R5sZcT8KxlnUBb5rBLskI5aEDAAoxp7GssaaxrrGSdZUj" "BgAUAKU/1NjT2Nc40jjPPAhMMwCY8i+Gua6HsZR5QKiEuTKHZpgTin+gsRuO" "J+F5QCABwCORhwoAZhKb8j/V2NZ4rrFRKBRWs65iIJjAZ+12G0X4pvFR47PG" "TuZBAHBoDvi3Jq0shTAP5sO8NsI8n2k80VyXw3kohd96oXkeZx7gvoS5cgT0" "AIGrwG7Sc00yYnlIABCTYKYUi5VKZV1KsN1qtV7p+JOee66jWx2lCA4A9DcA" "cKy/UYKPOr4rFou/6/ilXq+jKFgGBgCmBMYLxMf49XHOM4vm6bY5c3Nzy81m" "86nm8jzM85V+/zZgpyMWD1ZCS3/X9Pehjt90fK/n3uncvC+VSt8uLi7M4onn" "EpOG8TGBwQOQhwIAMeFnRNiclH9F46lu9BflcvmNnvtZ44VufiwCVsbYAjjS" "+1jxP2j8KmV6q/d9llLsNRoNAKAR3huz5zxnxGHMpo9TYo8G85yR8i8IsNji" "bOn4knnq+Abgy/xWYDGcl5bmiIJj6XzV49+YK0fN9+vl5eWBAM8AwJTc+BGb" "Z0wWJhC45/JQAACF4AZHodnbo/xLUoL12dnZLa1uL2ZmZt7o+EbPYR4DAEtZ" "LwBgFu9oNfwoRXgrpUcpHADo71M95sa3FdA8BefRMK/BOBXDgM6Yfkz7ec0N" "oHui4zONl5onAPBawwGAgMBZAFL0Vvith5rnV1Z+zQ2we6/5ftU41HxjADCl" "v4jmWcu6XoNp5kWSXEMeCgCY8rMPZrVblRI8qVarGwKAbY3nGq+kJFgCrJJr" "UgreNxM+35BynEgh9qUMmP0fpAgfarXaNw2nFHrNAMAUAqsAk/kgHI1Jt/eN" "QwphrtUwV0duYuVofpua7zZztLlqnk81VjXXOQ0DgJrmcqyxGwDud41PZ2dn" "e5r3kUDgQq+ZJVMPc4IbOMy6ZOFZ1gWBJPdYHgIAmFKYQjzR2GY1RPllHm/P" "z89vLywsbOmIoqzpNayDqpTCAYAUo6F7vqab/1jKsC9l2Dk9Pd05OTk5OD8/" "P9VzF1opzT1myo8ysGWALPwW/uZ5FGNcKyOrP0DHiu4IPwHdtkDtmRSeOTLX" "rcXFxS2BwRPNFSBkezCrUWqDAK1WXVM5Q9mxbjTPbxq7GkeaK883AlCYZ8QI" "w69hrngNDPDGCXZJ7kDuKwAUcgMX2JIU+4lu8G3MX934r6UEzwEAKcTT5eXl" "NY0l9st6vqr3VgQAzg2oG/5Syl/Xal9D4Y+Ojo4PDw+PBQBnUowLlEJKY6si" "SuH4An0ewvA3/Xsf9PnYYxADQLvPyLLrKU4c0ht7Nlb0+59qrvAZr1nxsXI0" "z02NJysSHZkr24OqAKKs31gEADSPZpjrueZ3cnx8fKS58lBTP9dU603el3nl" "PtP3H+jPrzq+17/HXD/r8+YxqGf9Q4pvMtckE5D7CABxeG85jHmtgKu6OTfZ" "7+tm/RnST3r+QiviplbGNXRCo7q0tFRB//V+vbXEd2Qot278SylFQwpRl0LU" "Dg4OLqQUdVkDTQwA3fztaA9tJBqegl/1+Hf20Pr3D6I9dJb1hhMbeWbjOkx6" "MTfXipR6UaY7Zv+2/m2A7mcp+U8CgGdS+g3Nb2V1dXVec8UzwFzLer2k31YI" "ANBiPppXXXO9YJ6ar5urAAAgdBOVNHUeT3Tc1/ikx++Yqz7/UediBwsi6wJA" "PozaSMN4/kmmUO4bAMRsP6awi/KTMiwHwm9bCvEKpQAApADPNJ5KMZZRfo2S" "FKSk50p6b0Hvc/PXTd2WUrRRACnFpRTiUorRlFK09Bzg4LbFBgAhXgAS7XdI" "NI56z1eNAylnTKLFIbdsHYxAi70GV83VvBo2VyP8NtjiaM4/aZ4AwGssHYBO" "c10UAFQ0ygK/ogCgqPdjAPD7M/0+5tqSZdOSpdNkrhpurgLAFq/zPgAg86u8" "AwDN8V0gDD/q8zt6fKTHBgCxV8R4g4usN8Q4WQFTKPcRAEwhMIVd5JuUeV2K" "sIkSwILrhn+t8Uo3/6aUYE2KsSDFnxEAFKQfBT2X6fUCSoGg3FLetlbFTIrQ" "lkK0BQRtKUlbStHWa5nnxfwWQApxxCooBcD0/00K8VHv28GPDpcQbReMMGS/" "fByGhRijHJdXzNVWfSP8ljTHFchN/XYIv+fMUXN/rTm/AOg0L0z/OSk/QFfQ" "tAt6X6bXCxEAZICd5urmKBBwg7nzvOaT+R2A3wJoPgeaDy5CQI7xWaC4p+85" "0eOL8Fsvs67in0ZzjYnRZAVModxHADC230JeN3WDQ/Btw4LryKoP+belsaa/" "2Qtj+pekHJkeZyiFlCmLAQDFkBJnurkBgUzK7x6j/LxmFgAkmo64BQ+Cl+Cz" "3r8jBcL8Pw0suq3+ecKQAaGGcljs/SCxPAYj/J5I+bdwa2L+hxX/WZj3hv5e" "1WOwrqJ5FnV0c9V7O3NFseEymRNzDYDnjvzN87weLIDLAGbHmuu+Xv+i8/EF" "wlBHRxjqeQsbbma9hCGkqIUYn2bdfIokUya3AYBhcs9HJWgsKyI+fBQCwg+T" "/6WU4BlMvxR9A8JPSrAihVjUmGPPH8xhpxACjEyf6QEAbnxWP1MOBn8zeD0A" "gCPRJDW9D4LwSKvogSyGIz0+1WcuAovulEKfcT53ou50/ABZiJsx80qCeX1V" "3D2rP1YOyv80cBus+C+CZ2NT83yq+TLXZc19HnJTz5f1ekHv6yg/c9VnnWLb" "XJmnAMvNkyPzjIDOgR0KLjnX6yea3qEsBYYjR/VcXa+bac8eCUXf07/jCEP9" "GwRUAXiAAOchuQxvLmOr3XATpY1DbvO554Ubfud1hX/DRfhB+OnxSx3Z77/W" "jf9cAxJsTSbwgsz9OSkFRBiRcmVMfhTfFALlRync2YwUAyUwZTCFiJTCSLQG" "jDnM+cHBwbmU4hzyUM81YhIt86vfnh5/1r9F1N1bDUei6TtYLWMWPS9l6fOC" "/r11AnrwakBuSrFfwm1obk8EAI7w03FOc69ozOj1os2VeZry21xtPoPmGubJ" "Y7YDTQBNFsIFcwxzrQkMmGszbHWYayeQivnp33qr137Td3zWv78nkIlDqZNc" "X+K6DXHthryX5cZyE2WNQ24r0bD02uIYT0hRN/Zc8G8DAI4F1w3/E+Y/SiEA" "WJJSzEKC6W9Ww5JeK9g+2BQ/Vgq76Q0ITEFihUDCVgEAaEkpLqUMDchCWQAN" "KcUlhCGvB76A1f005Bd81vGtXiPs1rkMIdFwx11xEfnti+QtYPLr7zcQfgEA" "toJnA6CD25jR3yUj/OJVPwa6eK42z3jk5gr3AQeCx6Bp84QwZO4QhpCnQTDx" "DQA+QBbq+Ba+gPnrvGDtJAAYTvqRq0Ygj4xYHRYAjJkmgMbIqcVwrGbdAhRj" "sQJw22lg6RoT/lI3+096zBYAd9+q9H9OClHWKGpFLGAOsyLmV8JYKdzZDjd+" "DAbx8/ZYSguLDj8Ai96WYrQCidYKpnTMogMA+1KmL3gK9No7KcVHDVbFYynK" "QADQ78VqWcC9CQBonpj/zJXYhqea67LmCtCVZAFAbBYx+wE65mpzzM/zunM1" "YhQSFMJQit/SXNt4C/ib1wJfYFsAAGBXz30S0L3TcIQhnhGNU7ZO47gnHrCY" "6zgmVxkQqxdZFwRuJTcBAJdok4WQ28xH3q2Gv3neik+MXPBlSxFmpexLsPus" "hLDhGhB+6+z5pRgQfgUGJFhQis5+f1jJA4Dtn40sxGvA0QjDiETDFCDs9kjP" "YfJ/ljJ9lPJAHEIgngU32qC5gnRYO8ua1xPM/jBXI/zmtfevME8jN9nzx9zG" "befK9iCAXYbHQKOHMDReRG8nkvKMZCLNiazCj/oM4cW7eEYIOtLriQQcTsyz" "Yh6kvTCIv7C07VuD6jAAYPt7VnqUHQZ+K/O55xzJRoOcg7ku9Ft5bis4s3WT" "l6UUc/i7tfKtEvkmXViV4i/OeZkx95cpv63+txUzndkvx0y6KUS8j2YfIIW4" "gEQjaEbv25cC7QksDgNhSOjxQDOOKCX9/kpQ9CWNdSn7Ois/WwONWQg/XJpG" "bhrbP6q5GjFqZCFAEHsLom0DfAAR0ycovOa4F8bxGQTCxUU9hFInuYYEIOZ8" "QZ6i8HhUPmW+doOFnVs+xq22AT+6U/LFJmNm2pFwGuSev9RNR6GNlRBjX8zv" "P0dxU2IBENUGqy9lILCHiLdFPUZPqii/XnNBPih+zPaPEgAMBMxrEDPoMQDA" "kqMVUpyalP9UZjRhxi68GBKN1+NVNxaidzWPcnDrVcN+f0GPmSvkJkBYMpAz" "5R/1XA0EbK4DCEMiCJt4QTS3M83RzVUA4AhDwosDYZjl5zto/vEcxrGYTJPE" "WzA7r2yZcDfraUKxycH4XeNd5kHAws7NvXrjehSFH7wWR6NZ2Snngst8hR2U" "/2fi0TU2dRMSjjvHzWtm921M0rzwPfpe3Hn49NnnV2xA+AXlL+YVf1T/fnyR" "YiDIE2lIYNGJrruUEjSkFPX9/X3CbxtSjCZRh0Td2Zahc9LDvl3nECsGfz5z" "nQnRfZj8uDTdXOE2YsUflfKbRGDW8RrkCcNws7ZDKDURhRCjdQaPIQwhTUNs" "ROccxjd7v/nHZO1Dl+g8dgC36dnkE/3tvEiQyHor5CpFXMyVbHUqblyP4kcA" "YMU1rLYeQSmY+lZ26nXmi0+Qg74ZwlTZtxZjP/Sobky+B8VghZdiFCH6AglW" "DH7+gpFgMdM/ypsoT6Dlb+LYYwBRZmG3kGiE3QoIWvAGAgAAogMAwXPQAS7O" "G/NhXgT2aJ5urjyG3OQ1zkVeUUY910Ejdw4slNqRhFJ+wotbFkpt88x/pwFL" "v/nnPTYPWUz5Q5SmbbPIQSHgbA93qhVvyTwAsB1gW0CKNluBG9ejuOrMWuEJ" "I/wopmnKz56fmnNsAV6FlFTy713UXRyJBhBwM48KALhB+E7Yfa2GGQw4JBhK" "kf+3xnnj/MiU5YYO+2cXZivFZ7RDlCHK0jGtTQls5WMOWDHs7dnjw2lonp25" "Mvc8tzHJuUbRhW5+zDMQhm0LqDIFjxXfbvp+87cYhlHxN9MssfJbdKbukWZI" "z4RYpVANgVVsAziyJSA92yJLb1yP4qozi+lvUXeu3FTmzf5n4cjYwvTXRSIR" "x0Wj6SatrK+vF9bW1jIUNGanbyvcCHwPNwjKjnIwYrZ/Wm4Yi7u3iDsuLOHF" "HFF+8xrwmpnWwfR382A+AABzYwCoNleLZpyG1dFWc+MImBdzDLkFHd4gt2Xo" "4VD6zX8cHM40ip0PzhX3BwvF3t5epu0iiWlwRZAo5GOw4n8J43MYn7Jb1qO4" "6qxi/lscOsr/SuNNOD6D9MtC1VndkI6BJ9X2yZMn5c3NzcLGxkYGCLBicSOP" "AgAQswK4OVCEfMjrtNwssccgDjE2Ey9+bCukKQBzClZOh+GPvRrGr4yS27iN" "GC9gFo0pfp/w4p5QZAPAfvNnzgZ003JNxyG2UACcpvxfvnzJdnZ2WgKBpkAA" "hxEuVorWYvLvUp8h82QgFgFbAwu75nW2A/l084EWwVVnFfMf05/6eS80/qDx" "T1ZZl3JTsP4E5uiCzcJWk24rxS8+e/assLW1lQkMnBVgyTejEDMTzTzke0fN" "gI9K8mRhzKYbANhWAKWI9v6dYUpgTH8812mSeJ5m1purMG/+m2VkFkO/+RsI" "Tut8RyVmPWExHR4eovjZp0+fAIH27u4unBGRl/WQZEZ25qE+Q0VnVn+8AvAC" "gACWgOWYxDUbryQGr9IWwnsx/3H3ofR/0viLFOwXCmuSlqqxqBuTkNUy+36t" "+EUBQEEAkI0TAJCY4Z9msqif18BA4CoAsC1Av/yFaZxrv3DqfqRhAoDvz9sA" "AHBWAJGmkMi6V3AMEE+BZ2A/eAaMGAQIAAS2AkYMXqsew48AgJRbF4eeeeX/" "m8YfdTM+p/wWjD+uKgg4CKrV1dXC06dPnfKPawvQ+eE58mvaFMIkH14bgwAA" "MMgEzntRRh1TMc659gsttr/TFuD7c9ZvC/Dt27dMFgCh5i7aFEKVSk4EllG9" "mYSyQAwCAmwF2BKwNTDvwLXqMfwIAGD+AQDq6f9VF+GfdYEAACrPEnpLxp2x" "8Zm2AE7pWfnHQQLed3nsJNhjn/+gc9KPBAxEoLMKeC7UbWiFuo3UnTjQOWPF" "j8lAhhGF16rHUBjwtxXeyAPA33RR/kgBSq3q6yHxpoDiMwwIOObdgA/VhBtG" "8iZyPuU47waLYygeggI89vkPOieRy7jjBsSNGlzHbgQgaIcgMlePgozSzJds" "gwBk9QcIzCrgGG8J+uYN5KvOxjX3cAHiAbAtwJ91Uf6ii/ILSSmko8rkr+Ly" "03AAgLkfK37ehH3skt8L5yMI4yi4QanL91ke+/wHnZPYKoq5oRgIsAjIxiTA" "isjSUJCFJCvX0xIQ0GAbAB/wH5nnBvgbSyDubNUjMQDk+8vjAiThBwAg4u+f" "dEH+RO49tecpRiEzv6o9v9v3GwCEens9wRwP+QLeRAZF1iH5CMaHeN4e+/wH" "nY845BogsNgRVn8AYHd3FxBoCRTYClxSrIWqTdboBQDQ+Ie+8n9r/HvmuQGs" "ACyFKwGgX395zH/rqmv95ihIQeWdp1L4ZSl+ZWtry/n8ZQ1klpYas9YPef92" "G8mn3sYy7WRfmv94zkc8rEZlzAvgIdjf38cK4HnyTAgnhxhkO7BLWzsNVv9/" "1fhfma9ABR9gJdy/EzvD/frLW8ivRf/RaooWWzSfoPfEghR/Bp//5uZmxjbA" "ACAOVHlMSD6MDMqCi+Uhn7PHPv+8DAqvtjByswDCViAuXIuLkNRSmth+EAD8" "XR//F41/y/w2AGIQHmAgAFiRD1v18fuT6EPwj4X9AgQbofb+Mrn4Mv9npfjl" "58+fO7cfAAAByBYgrkf30EmcJEnGIXHhGXgAlB4AwDPAY6wAng8uwvPQxZqi" "s38XmGAB/M/sewD4DnUNAMznj8mP8v8cBnn+26GdNlF/CwKAeUpRSeEJ+S0Z" "AOD6AwAgAfOhuYkATJJkODHPgJGBUY5Axz0YjiRenWs7sE8lJn3mHwEA2ALE" "AEA8gNUN6IQJx1V+WP1Z6S3q759Cnj+lt6lMu0A/vVCMoywAKBHz/yMASCRg" "kiTDiwGA8QBmBRgAMEK8AMFCNfpSCCzo2/hWAGAkIB4BCxHGFRgXFHVAEAMA" "GX+s/r9kPurvzxpvSPXVWKXJJP30yE8n6g/3n4X9GgeA/58tAC7Ax+LHTZJk" "HGJxEnFsAKu+gYCRgt++fWvrb3o7Unbum7YN7wUAEIF4A/ACxB2dSRSyrlQu" "OtAAgJx/iD8A4I8ahPz+NfTYo+f8CvXpyEOH6CP4B4W3sF+O5gXoxwGkLUCS" "JMNJnE7ONsC8AWb6wwd8/fqVQdIQTW1p3sI2gBwBiobEtQPiTk0kC1k9wWYe" "ACD+AIB/ltL+NdSh39ZYIdvPIv0I87WQX5Sfx2b+W+RfcgMmSXJzMQCwbYCR" "gWYFsPqTMxDyBig5V6MIqwCDMvREBsZ1A+JwYbMGXHQgmmnlvtgCWNrv36S4" "f9HK/yY03Fim0AervCk/AyuAowUBWfrqtGeuJUky7dKvKrNZATEAfP78GQC4" "pN4kRVhDD4ZOj8ZQUBRL4F3WzRoEBFzaMJqJ2884ANx+sP9/1ir+J/rOS/nx" "+y9J2Sn24VZ9FB8wYFgOgEUAxtlbjzGgI0mSUUicI5DPEzCXIABA6rAAoBUq" "CDUEFKQM04/ihK5MUdrw38PgMXkDeAYu0Mz/nHkrwGIACPv9owDgDzSiFAAQ" "9bcoU3+GiD9MfkAgVn5M//zqjyTFT5LkZtKvLLtxARYaDAcQLIA23gABAG3c" "iAykDcM5dQNCQdF3wTMQBwdhIdTQ0P+a+TgAogAp940V8JMU+RWdd7T6r9Js" "k6Cf7e1tl+dvAGD7/mmsyZckyX2WfJJQ7BGAC4AItG0ARwAghAi7dm7aCtCU" "hlqCnwUCuAZRfuID8BCwJQAAztHU/yvzkYDkABAMBAhs0Yteir0mJV8i6Wdr" "a6tkLj8DADP9x9GZJkmSxyz9UqfjuACUnW0Ayh+HCAMOofhsXYBxqM8RG0BT" "WiIDCRFmGwAA0GbMAcD/yHwyUEWKCwhQBmxFyrxCCypaT2vPP6PVv0jQjwGA" "EX9x6q+5/pIkSXJ76QcCUa9Gp/Bx8RCLEAxhwrRwPxRgfAEA9HkAAAsAACBN" "uAMA/48GrbzKGhVae9HdhzbctKASAMxQ6VcA4IJ+bAtg5n+8+qfCH0mSjE7y" "GYLGB1hPSvMIxMFBdgydmY4EAl8FGu8EAIQGsw0gQAgA6HAAv9PJk8abNKQs" "e6HHHn3paL9VksKT8VewoB8r9xUz/7HvP0mSJKORQQVV4xyBODgo2hYQHHSs" "7YCLDiRJKOuGBxMj4PIDAIDTUGa7QK852nrRdorWW7SeptAnYb8ovik/5r+R" "f3nlTwCQJMnoJbYCrGoQe30DAQMAlB/vwLdv35oCBr18si+L4ZM+ZyXE4+ai" "Lg6ghfJa8wkUOxT4LJivPw4AYuWP9/4p6i9JkrsRswLikuqWKGQAQDVhXIMC" "gaaeIzrwUO/bFQD0bS+O9d+2UswoNspuq30c6Re7/KzSbz/lTwCQJMn4JI4L" "MC4grhfA6h/6CrT29vYuQqrwkbYA7Pkh/jD9qRFIUtClAwDMePbzKDmKD9tv" "Pn9b9S3OP7/nj1s5IwkAkiQZn+SjA+PgoJAd6ABAVgAAQGch+gvWBACnsiAY" "rmmIji4t2AEASo2Co+wQfa9fv85evHjhHsfNPfKtqVLIb5IkdytxOXVrMWdd" "hWD/bQsQWou1BABEBzZoJiDroa7PN1B+jUuNtgMAM/9x7+Hqe/PmTfbq1Stn" "BVitP0Ain+ATK3xS/iRJxi9xa/XYCoh5gBAe7DoLhTLiFBBtgQECjpY+29b3" "tHsAADMfAGDl/+WXX5wVABgAAFblJ5ak8EmS3L2YJ8CGWQG2DQAEUH6LEAwl" "w6yAaDu0Ymtb49YeAGD/bwDw008/9QAA+/4kSZJMVuLIwBgE4qpBFh1o4cFR" "dKB7X64fYwKAhyxWbbrNf+1QCTJ6Lkl/KWTx9jbUzy+E5wtXN9Ucp/Trwmxb" "AYsLiEuHxfUDOcYgkADggQsK3+JGcTdLll222p2/82CQpFdsh1t0Xq4sK7lj" "ISsVCx4QCoWpAIG4dFhcQNTChOP4gNBZyIEE78V6SADwQMWUH6VvXrayerOd" "1XVsNFseCBjujZP+pdMpKDnKj8LPlDTKxayiMVMialbPFybLg8UhwnlCMK4i" "bMVDQ/3AjhXA+wCOBAAPVEz5G1L8WuMyO69fZqcXzaxWBwxaDhScJTDpHzql" "YsqP0s/OlLL5isZsKavq8exMMSsXvUUwaemXIxBXDjJSMLgGnRVg24AEAA9Y" "UG5W/ZoU/0SKf3TWyA40js+bAgPdLA3dNK2k/oMEAJgpF7I5Kf7ibDlbnp/J" "VjWW5sp6rpxVAghMg8QAYHEBMSFoAMAAANgeJAB4gBLv6Zu6KS6k5Ce1plP8" "3eOL7OvRRbZ/0shOzhvZecNbAQkCvBRyj0ulolvpF6slKX4le7o0m20sV7K1" "hYpAYCarVgCAYjZJb7htA4YBAP5OAPAAxZF6juDzq39Dyn12camVv5ntnlxk" "Xw5r2eeDWrZzXHfWAK81EgA4sdr4Prgtc6Z9RQAwJ5N/WSv+k8XZbGt1Nnu2" "Ws2eCAhWZAlgGcAH3AUADOqkbI+tbFhcPdhKiMelwxgAQOIAHpj0EH4M7fHP" "te8/rV1mB6f17JtWf5T/EwBwVM8Oz+pdAEgI0EP4lUsMKb/2+gus/lrxN6T0" "21L+Z2tVZwmsLMw4TuAuACAm++IRPxenCNvqHwOAeQEY5gUAAJIX4IGIU/5L" "z/Jj9nf3/c1sHwA4MgvgwlkDWADn9ZYDgGQCoPyZY/ZngtnP6r6gfT8r/ZqU" "/elyNdta8SDwZLHi+IC7sADyxUDyR3sclwqL3YAGAAYCHHme9/D+BAAPRFj5" "YfZrYdU/OveE38FJPdsTAOzK7N8RCGD+H2j1hxe4AABarUn/9KmQUrHoXH2m" "+Jj9KwsVp/zrUvgnS54DYKzqucVqOXgCxg8AsbJb9F/+2G/vbxGA8dGUn/fy" "mRQI9ACERaJD+J03nYLvmMIDABoAAYAAMAAQAEU9xAMMksJ3Dx6uzEiRZytF" "p9ie8NNYnnWKvy4gWBUI4AFYnptx2wJcgbgHS2P0AsQEXxz7z2oflwrPNxC1" "6kAMU/qoUrBTfmIG+FwCgAcg3CeO8JPZf3TakPJfZB+11/+8X5PpX3MAcKit" "wImLAbh0QMFWgS1DKyIACiHM1Ue5dSPdbIWbXNzbmEXTwpSfEwBg2kP4ba/O" "yuSvZhsCAVj/5fmycwVWKz4GoBKCgYpjWv7zhUDjIiD9hhF/5vu3sN9+8f8M" "sx74/gQA91zQ4fqlN/33pexfpPy/7Z1nH3bP3b4fAHC+/8alIwchCS0k2PTf" "FN7CXcuBDONxMRBkD1UKAQAI8llh9V+uOLaf/f6GM/krbtWHFCyXve+/VPTK" "P+rT0o/cs34AxvBztJJgjBgAjPiL4/55nvfxXXkS0c8/AcC9Fq7lRfPS7evZ" "63/aP8/efjvLft85yz4FADgVAFw0WyEPwG6w7nfEDLiPfNMg7LXs97nEwj/U" "9G9mZQCABYD5j/JjAWANsPrzGu9xeQGFrrtwlDJI+W1/bxF+ZsYbEOQTgWwL" "ELoExWRfp29Hrq5HAoD7LAYArPK72vd/EAD84+tp9tu38+zTwbkHAFkHWAn+" "A98T/6b87G1d5Jv2wgsac1HYa2FKot5GLczKu/2K2aL2+GuLM9mm2//Pun1/" "TPjZB8ZxJn7UCYjVnIGSGwjEABBvAwCBXMKP09+4pJ+V80sAcM8lBgCIvw97" "HgDeyQqIAcC5/PoIKxksOCs+pi5EF8w3DDgRbwDCuAmvSUs5xPzPaZ+/BBGo" "uWMN2L6/Uh7ffh8ZtOe3ld1WdUx7W9kBASPz7L3mCuQ18/MjKD2FfONq3lEX" "7/sHABbyajnu/iTaa3fv2O4QZIWsQ5zZc+O4b+L548nLA8Cv37oWAHEAeQCI" "c9v5fe7mr3gTGOXH520EmK2AowaA+LrF13ESgnLb9mcuJP3MO8LPg1+55PmR" "cdUDyCt/7Nc35beCn8GX35aSt0NlHzcCGLQtIIjv4DvRW+p5hlL/nfqe6Py9" "BIB2dONftn1aa0xq3XmOe6T0fo8YSKJi9+9RgkB+/rD5JPccBQD4tF/L3mn/" "//vumSMBD067Yb9Z1gUny3EvBdMf//eqzF+ILyLetgh6WZh1yS8W9z6S3x+U" "Pa5RwDzaIT25PYEiBXadfBSgBwJL/WW454vjqwfQrxU45rv59AnisVBeq/Mn" "AGjpfa64pz7b1GdbCCDA5/lOFBxlt3L/6DJAQAVw6+lx7wCgm+Pu493xZ5Py" "ii+c531M/B3+IBQ/yzo3h8sbLxWd2cjectSMcX7+uPVOQ7Yf/n9Cft/vnjsy" "kBDgQ6L+Llru/CAx4WeRb/OVsiO71rT6s/8dJwDEIcvUJnDX77Ll5tO5fncM" "AGYJxcU/Sk7piy4jcKZU7AGFUdcDiPf9cUiv5fSz8qP8nz59assSaAkAqPRb" "1/sp722Dir9U+gUH3AlEwVF2023r6m39PQIPcH8AoB0lunDjk+N+dtF0Ya0X" "DVa5sJrcIQJwC/jVw98gKAurKaYkKys3kIHAOOZ/Wmv6yD+t9Lva738j5Pfw" "Ivuqgfl/XGu4GgAol93kPSGvMvGXq2UX3w7rjelP4stYtgBtv+Jzndi2cN38" "9fPxCczrcoIpyp2Yh+ASLQVroDrGegBxVZ+Y0IsZ/SibDwCg5VddAHCu95/o" "s7T4OtFvPpfi1/UYq6Bt3b7Q3XyzH54DGO4lAJDCWmv6G4dYdyLfOPJ3LRS6" "uOv9pCORnEKV3YrposbGQCJ9P/+GlLzh/P970QAIeP5YwECAkFUB4icAVNUy" "bq+w6i/4FFf2/m4sVVy8+zhIQHejX1KgxFstx+fd68ffFxO6fv3kruoBxAU9" "TPmt829c5TdsAaj1T9ffs9PTU7r97OnztPrazXyvP5p+NLKo3R96zd6fJj/W" "54PnsADu3Rag3fYx7+xpD88bzu9Njvvecd2ZuqfaCzecv/vuftNdupH6zl8r" "Pav+zonP9beiH6dhZbWQXywHbupKOQS9wPYvYfL7iDfCXgGC1QV/k5sVYyTY" "aABs+q5ffB3jx+OuBxBbqTHrb6Z/HNJrBKBGS1uCGl1/BRQ72i7Q7+93DY4G" "AhcaLfb/KLk1/MH8NwCAB4AbuHcWAFsbVgmCXvZc1Bt+77Psq457ztxtOlOy" "dcdbgLsKJPl+/jXH+rPvJ+NvP5wDU/xG2Fe7LXXBWypYJLi6uJE3V3yO+6bG" "08VKJ9HFVrhKqav8o9juTuP1s2t41/UA8pl+/Zp9Wlhv1PKb/T+r/4He+1mg" "8Zu+grbfHD9n3aafLVZ3dBZlZ6D86DJAwN/W2fveAQCJLDDeEFyf9mC8T92R" "v3me11t3uITcZSjp9/M/d/7+jzp+DQBwGhh/I9QcRxHCeyuO7S+FmPeKI/qe" "BwBwaa5zIc89WvUtCnAUdNc0Xj+7hnddDyBf1NMAwJj/uJS3gUDo9QcA7MoC" "+CAA+Ie+4t80OH7IvBUAALgAAGvkiw4z0G0AwJ5n3DsAYHXDfMT0he3G503c" "+1dcXucNl/Byp1mud5hM8v38z7Jfv565o5t/qPRjRFrBFN+ILAJ9qjNupedG" "3gw57vxOt/rzG/W+Ir8tCyz3CH3eU3n9ssnUA8gDQNziy4p55gFAjy/1uvT/" "9Jve/14A8O/6in/V4Pg+862/6fx7af8OOkyz336Ps+ye5QLYDcSNjo/79x2i" "3k6y9zs+8YUb6Lx+9yvIXaWT/nD+Z37+AICRWLNlz16zr+eGZkXjN/kcd0bV" "8Ra8bqvauKrdTuv1m0Q9gH4AELv+rLOPAUB4DACc6H0AwG8CgP+tr/gXDY5s" "AwCAkywCgB/9+/cKAC5zN9D7cAP9nlOAQTfQyHLc292DC6UteP9/tRJM7DnP" "GK8GZp39NYCAwgEQi3M3u4G+n/9Z9o8vp37+R73zt5LWAM7KnP8dG+E3rEeE" "34oDJc9TVMrjLXIxNdcvJ5OoBzBCAPj/shsAQOdUTjMAdEJe2z5K7DIUuuRG" "wWQ0E/K9MyEvnGkZ30CdkNdC16S1fHf/0rAXsB2F4WadzLqOf73sY+qrwXW0" "ItPfK141217z+21WkeVr7iGvPf8dOICay/s/D3voDuHXQ2LNOVP2SdjHQgbm" "Q15HGbQ0fdevj0yoHkACgB+doMwYUh/84iLfmt5/jI+bG/7jFSSSsboWklsO" "kV2loifFbkpsdcNY293c+la7aw0UuyQSyudj66vZiydz2ev1OUe8EXHHSlJx" "bphRzr/hfOwOACAmdVOj6Jsy85/z72tsCwRY4bjZiQVwEYvFYk/48kO+fnmZ" "VD2ABAA/PEHdG4cKNnUX+eaDR7iBXKXb/ZpzI+FOwq3kat01vQLYquxiu4nS" "myl2TDdL7jCS6/o/Ku6403L/lquw0/Shtq1QYxPL0GXXBQB4JgB49XQ+e7Mx" "nz1bm3OmpdtHlq8AgFvO325q9vfbK3PZKyn/m42F7Pm6d2WxqlVnyl6hCuOI" "b5/C69dHJlUPIAHAD6Rz8zRbnbZWLnKMyLfTuit9he8b05GAEsxHzEve3w5B" "L3GGF+TOYtjruv03ZtzQAGAx7N6dhcvtpOb/XV9nz6yBtlvdWTkcAGjVfb0x" "l/2yuZC9AACWfYz9VQBw2/lzw9q/z6r/kwCIfx8AeBr+/aqLBMvGkuM+ldev" "j0yqHkACgB+IrbKEshLSuh9i3Ykas5BXbiRGv1BgS8yZd/vgmQ6ja0TOrCNx" "hiO82m0fx46riqAVotd8zb2G+5vneT2vgADATxteAV9oG/D0GgBw2/nf9t+f" "hutnyj+q6zdI7iqUO38v2TEBQB/hBiKJhWQWbhJWCot4Y8Wg4cWRlO601ptM" "YmnBJUeCFbOl6kwn6s0RYM6sm3GkD0oyDJy7+nshjh0ii/Tbz4e+2QYx7VgE" "/I5RAcAw87fIP4uiq+T+/df8+2ELABG5eAcAcJvfPxNIzMUQteiSlHT9mM+S" "Xb/icNevn9xlMlfvvZQA4EphT00aK6urixrbP3dssW9vddFNIGn0ppPaDYTJ" "NjdbdL5vn+M+l73UPhjzzpFw7OtQgCEvGqb+cai/51Jv9860l/UNNzqhrK3b" "A8Cw8780IjLzJBkJP4uBg9jWfvYlHITGdghnJca9MkYAuO3vn7WchQ4zX3Xk" "HCQdZB3XdqY03PXrK3eczh3fS3ZMANBHrLcde0YXNLJ75gpdUPCClffIubya" "riDGZWCb48oyRuzg64bQefVk3u2DCedcDyRcZUgAAFxqIZKNlQwW++3OafZx" "Fxbbu+GMxb4tAFx7/q3unItR5J/b+gQ35NZyVSu/AFADS4gVdZ75j7GzzW1/" "/1xwYfaE4o4JAO6yoIvJdQEgHnr+cQGAK3UtU5HSVpS4cmGje+fuBjp2lW4v" "O4qfL3ZpCsjNbiTcz84EnnMmMDcXN9owF7cVzFrnxz7ykWy/fiOSreYCcQ6j" "QJZRAMC15t/2K1hP7jqRf9r6rIR9MwpEHACWAB4Il+aLBTTGoJ+R/H5XoszC" "lqtj2QIgd13SDYmzAePmHpYINMgCOCEX+DEBAGQRNxBJLxS7zN9AgxLHxkGC" "DQpl7RfJNioA+NH8MyPMciRWT45/CGcdF4n2EH//uCUBQL+T0vlft9mF3UCs" "IO4G0l5ydwAAFDr/y27thusn4waAm8wfge9whOfcTCDMfJ4/Pu21kOaL4ixG" "kX8zgdkeWn8K8cPelTOWawPAlP7+u5L8FiCuAHzVFqBerz8sAMj3tycghIYW" "7gZyynbmGl5AJkHA+aCRLgBYIIt1srltIE4/GScA3HT+iA9lDYTZ0myIZJt1" "WWxrUYGP2U6Of7Fb3HKYixSVzHKhuVHnoHwg0TAAMI2//y4ltgAeJQAM6m9/" "HBQA5SJllJZXFMHYj5pduJz3OJ+bUb59KG4/GRcA3Gb+iCM8UaCQ5gvRuR3y" "DjCnFxzYhWKWxW4U3U3MZ18vL+spmtkvlHhYAJi233+X8ugBYFB/e1h1biCa" "XBI2+tFcSKeh1l0IGilaAceQzz2qZJzvfueYAOA280dsBbVCHygPbP96KF8F" "YVYOoaydVfMmypOF3oHhXGO2++5B3ycT3cQCmKbff5fy6AHgyv72GrS57ulv" "f+79x81Wb9RYNVRxGVc67rgA4DbzR5iHr19X7hT76BJm/DsFR5jdVnxRUXMz" "ll0swfKAdOJhOYBp+/13KY8aAG7b395dVLuB5nrzuZ8GABhVPvcw+ezXBQB3" "A9xi/kg/APQFPjzQzZRGU8LaUp7dal31fQRQVldQpGoVhL15Xs8BwG9XeHGm" "5ff7cmiFO2+Eav0AfgQAD9ILcNv+9p10Ti5qWD0w9TH5Mf1RwJUb5nPfJp99" "GAC4zfyRflugquvwW/KZc7cIZbX8e8xn/g0HtLPlDlu/pbltuOAcgasUFgXi" "3fATJ+ceAD4fduMAPjovRi+JO8nf79Kjl71luBASjRIA3DEA3Ka/vettF1x+" "a6HQJWQfpB/knwscmSsPnc9923z2YQDgNvP3F/F7EnTGCLMb5s7H+fjWJGMm" "mM6+Um7FFRN9DgCseAAAhLHGtDHzIdMBAL4c+jDg34gEhMR0XoyrSdy7/P2b" "K97duBg8DZMGgEGRgA8yFPi2/e0LLvfeJ43g3sPNh7sPt9+zAAALc55FHiaf" "exT5+NcFgNvMv3she92gXddWt6HlMOJq48ctxDDRZ31JcVZLzrUvlOlXUMz2" "2RBZSVq0a1cWvBhYS5/cuTp3IdQkAlm/wkFu3Lv6/dwvcVHUBAATAoCb9rc3" "AHClr3QhCfRB0Qj8QfHWI5ef3RnXubyjzMe/DgDcdP49F7Pzv1y5rBvcz8XA" "rbgIvWhv7irlLvruQSgOATurC2XXWhv3GluTU/IlzjyRuRcITHeujgJQnvsM" "QCNxJ/r73SIx07FgfHh4AoCJAMBN+9sbAKBYKBiKhsLFAOBSf4eQu8rHR24z" "/3FJv3z8J6F1mIXn+sKilWx+1rPnKP9Z3VtIu8e97co4T9a1CEsKi8oyACf5" "+63mH+XRqmXvbpyEF+BRAcAo+9uzx8sDAEk/ZgGsLdwMANhrX4TCHyPNx1+r" "OkvFZyMW+wLgMPPvNrS010Zz96JA5prr5OOHpqGk5xK0s1z1ioOZzbzPLfvv" "qOa2RWyTHIlJn8KeykmBxMy6i/ukfv9C1ef+e29DcWJxAI8GAPr2t2/4Rp83" "7W8/N+MbXT4Nra1J+yX9FxKQvZ3VdBtG+PfoXnvoCn/Uso/75P77SLyb5uP/" "FPLxeY7f5FbN1u3mX8yRmjcNkslLNz6/7NqEb4XOOFZPwa2aFQ9iCOcBNyVz" "4DzRrehL8GIcYyEFkGyGIqo2l0767YR+vyn+bb0Nt5FHBQCD+9s3nWLdpL/9" "Quh0+zTkjVP4w5E71sdt9vr97c066eaz+1BcfhOKCRDsOgBoOGZ+2Hx8QMoR" "ZjP+99Sbt5u/61kfhbPGZbNvI4MUyFXK1Z55IXQR4t/kt3GunMvvwAMYPQs+" "BxKTPX8tkKMGkq74xjT8/lBg1GcW3/3qjzwaABjY377WdIqGaY2JzU00bH/7" "1dDtBhPPQkldJ9eq7+R6naAfU2Ru004+e7ipcWF5P3btxvn4W8veIiEXn3kA" "gq7N9y3mP+/KVxV9hlxcLfeW0teEDvn41hrLVv96aPgJicn5+YfbwpzlSMwu" "gM1ExT4n/ftjL8MkEoGGKQjyIACgt799s0OkdQij49H2t7dml9cFgHZ40C+S" "zRe08BbATfLZ11wOQtmBhV81W07xbzN/XFrjILGuW5QTuS6JOY2/f9L1BB4Z" "AAzob+/i3P2Kd3D6fX/7Zifqr7e/PUrFSu9bXs3eqr+9peO2o995UjOz9rxr" "ATgA8Csz70Fc/UESkMKen32m+03LfsUBEHyknDc5WQxhy5nrdeZvgT/F3PwB" "O9xw60szrv2Xgd0oWvtZY9HZjhutHFqH9xJmnLdas9kBAM6PAwC2AN8BQJ/r" "xzlaiiMJx//7sdJszz8pyRcEGbQFeFA1AQf1h3dhr04Bet1E9cuu8ruQ0VAs" "kgvp9tar+P3nfdivAwCv/Dfpb+8j/qRsbe/Lvmhcdn4nJvnvLpLt1EWy7R03" "spOLLgB06w96U5NYBEc4hUCk5QBIxMkzD7gDVyzzsD54/sGr4OL9w8a50+4r" "mLVxd1/+9oU+iyMhsiyKzqfM+kCaOIza/gnArBZITCwjVydRQMn5IgR4/6RL" "Yg66fpurIfMv1OAf6+8vT5bwM3m0ANCvPzw3DaG1KAArXyNa9V3Nv7AyO3Z9" "puQUylX6XYfx96WuN0PxCBRt2P72XItLF2IL6Gj/3+j6/30o64VjtakATDAL" "N7X7nWFfS5ARyre25NtKvX4674jIrZW5EIrsVx0ZnO4zbr/M1mJ/8PyNXDSv" "gq9dH5qPhrTZrVWf4rwRUmfNtTiq7r4WOWkptLHSdFOYA4+Bt+Q4WEtS/o/k" "R1Ao1aUu+/iIQdePI3/zvDtPY/r95WLwmtyinsCo5NECQL/+8O+tP7z1t6e+" "v52kKPKNi+cqx8h8ZPVDyfD5u3LfuPzmvcuvhHmaXb+/fSfqj1x87Wf5DZaZ" "5yPZ6o7RxhLA/D8Ke/NmAIBOKPJyJXu+1o1D2A4AsDjnIxGZD+SnVRW+av7u" "4kReBUcuEs1WnemkzJoFwFZjNTSyqMyMZl8bF8W0uHpfvMS6I3ki98yapLjg" "nwsHluz9se5cqfRzn8TEZwZdvxd2/eZmnIUzgszfgb/fSL9p2PubPDoAuE5/" "+35iNxA3uyv1/ZSov8Xs5VOf+LMa2N2bpPm6kN8LK8TR8CG/gZhjtWaPvuvc" "cn5/Xmt0f2e/SMTXuUhETOdh5u9TVwvuu51XgZ711DhY8C2rfc96RtWns86V" "oyInI7y7u2XzOspvPA4eHMDMwqMhMHeCJ8MFAJ0HD0CwaH54/eb89RuVBZD/" "/dMkjxIAbtsfvpS7gV6GG+hVDgCGuYGsHoHrYhMKcThSLhBzLh9fN7i1/3LK" "X+92IUKq+UjEAaHIw8zfWGw+C8G3HmLXUfr1pUqH8Fy5w4IWrjVasx1WfbZH" "jc55cqG/pz5C8jCE/AISeAj4jCMxNaf5EV+/+y4P2gsw6v7wJSPb5mecydi7" "BZh1ynCdG8iiEV1cQiu4/C66+fgQfZiwO9rD7oVY/9OQj0+mW9yCLN7XAgB+" "C+CzEYkAXF30sfIlFyt//fl3CD+XuurjCAAU195syYeyLkVVcu+ipJVtYU4u" "LDzaZy8SHdklMXuLlsSRf5CHuEG7AFDVuVoc+vo9JHmwADCO/vCQewuumMOM" "I/2e34BEsmCklhXgdKHIfs/PTf0Nwm/ft7DiN7H6Y+rWcr0HEYvIcznm82Vf" "0TaEImMJbMg853mXYgoBOMT8y67ISdEp+qa+5zlFTTVc+u2SD2aZD56Fuypq" "6bw4hPzWAlDuXWS/7/rgqK8hzdfl+TcuO3UL3E2WeaC06+cB3FtLRuJuLI+e" "BLwP8nABYAz94S3QBgBw7aKkbLiRWB0d2Raqx151A5nio8yuDl/dK6V1+nUA" "cKAVOZBYR8GUNeW3OHyXahqaSboCpAu+pLWrQLzuq9q6Flwu8Me7GYetJ4C1" "w/4eMvHVE+oceGXh3wFYqjP67uLdlbX2nZEgcZsOwD7s4vI7kQXT9WIQ39AM" "HZLtXDEXK8XlI/NmHDg+WwME5l0J8PVFy5AcnRfjPsiDBYBx9If3veJ8lB0s" "uM/nnnWEGOaj7YMHkWDm7gOQuJH599jLGunnK/D637QT4vBPat0CnFZVllU3" "38JqdWEmEHMeBAjOWZydcb+nZU1Fb1hPgFUfqwJy0XX3DenE1XLZm/t3FMYa" "k5hfD31shCcxax0OAwDwAO7dbd6D4SP/FgORuRZ5MXCVPl2e8SW5CNyaQEGO" "ScqDBYBR9IefsaSaH+RzW+QfN1q5VLgCANouuKZmrquw6vfkrvObTurOr30S" "7fstEpF4dQvG8b3rum2r3FgI5aVC8024j06gzBjqCdylrgxTFbkYkn2I8190" "IFnu3+5rAT6jW7NxpnT3RTknIQ/eCzBsf/iL3B675Mi1bjLH0+Vu1Nt6aBfF" "6uvzuX0BSasjN2g5dPUHm12TH/8+N243FLfhFb/zmy470XidSESXfVjy1YeX" "fdORbijyjNubW0BO5sJkSZMNsQSjqCew7nsbLN4RAMQJUi51OwcAg5qjdrwY" "Yc+/HsJ+2b48cZWaveXkr2G3UnO5OBpzJt4MxWnGk0z86T2vDxwAhu0P37zs" "FrtEvmPBQ/22TesQG5eNzhX7vOqkWyhyh/BzjH+tY4Z7k9+7ruKSVVZ92NpW" "s2fltxCJR0SebUMcD6GbuVDkwrZDOLH3LFw1/+vUE3gT6gmgRD7sd7wA0CFM" "A5lrJKZ1R3YcwID26D4bL0RIdrLx/PZlfSHkRlR92HZ1phCu4fCl2gff2P5o" "0aBx7YRJtgHrntvBzUEfBABcuz88im8hv1mXYe8PANVQwLHSUbRyxH7HbZ0H" "nfR+AIB1shei1s7r3xertBZS/oYudxJZLBTXg9JsyEPwPn+373etxOtOWaye" "wHfzH7KegKU5z4ctxvhcfnHthpbbBtVCfsRB8GJ8ckVSyGUIUX+BL7kKACwr" "crE605OsVS52KwCPQuJiIzPBg+RqD4RchlIAgUnJdQFgUGOQewEA1+oPHxQ/" "X+yy3xbA3UCuP7xFvXXDRq9zLfttAbiROaKoxALYDcz32c3DjWwM9nJEYnVX" "td668iiyz/X/vi7+d/Mfop7AdlAg1y6LKkdjDPox5W8ExQcYTwJv4klMnTv6" "Fxx+f/64ufttASxpCXfffKjAW3KrftZTBXgUYspfKftaA/MVf16rM6XQFWo0" "zUZuKg++Pfh1W0O1+wf99SUB1xe8/9sUbdh87kEkoEX51ep+z29eCMf2hz6D" "y6Ga7PrC9z3r/V62l8S6dmusIeoJ3GU+e8ulRLc7fQrhRvYDSWokppGnfc9f" "HxLQ5f4H5XeELXMYU4y+hVLPRdeP8xpni5YTAEwvAPRzAy6GkFeH4CV/8wy1" "kesksvhVjRXrpKdYZTuUrWr39qsLbaut9oCRkEZi2coCYHDjF0JnnN6CIr5Q" "xgfXGef73njXqSdwl/nslyHox5n8Z/UOYWphv93w6HD+6r7qTyeLsRDFSgQ3" "IMA9FyIXZ8pdk98ZcTes/9+5kXOPSyW7foGwdRabeY18lahJ9AHMS94N+GB6" "A94WAPoFAlWjkNdO6aihACA2bVuOD3Cttprez++3I76mvAXiuAi/RW/qP7PU" "27DqO9djpdiJwfd15TJn1vPdp6ExxifHmJ+5hh+QobvH3dZYyHXrCdxlPruR" "uEfnPssPEpNqSICAS4o6NTdpK3gwBhf8tP33bLnYYfqtNVsPOx8eDysFG8Ga" "cLEaWB+zpdAFyEKpPYG6EnIOhu0OPbZz/RCbg94aALLvQ4HLtl+8YbsoJB8K" "fNlqd4tVFiy8t9tMwillKDZqJKQrvmHtxkq26ncDjSDNzkPgj68nUHOtvn4L" "VYX3c80+rltP4C7z2ZuXoWTZWfj9e10Sczd4MSzqzxQ/DpNGbF9vLb5KkafG" "inCOwiXX01qsdPX1exryKG7SHn5s5zoBwIAfF/5nZmIhRxQNDwHtqCdB1mmz" "1Sm2GVap7r6/3MNgE7JqK4iLOix5wq9T7DRYFbZvxi3mowt9s8+P5gI8DbX+" "hqwncJf57M6Lw/U79bUQfnc1Ec9cnoTzYkR5C3FyVd/rl0X7/ADc/X7/TR1z" "WGylUq5Y7GwUdbhcDW3MPIm8nCyA7jmfZgD47sd+9+CG0u4e3AoVlL9aCRV3" "5jxhtLoY5d6HVFz2k4vVcmcPiVwG5a/VPa9wFNKKDwJZthPaY8Gcs6KSeGTh" "xch16wncpfS7fr/mrl9tyOs30msYCWQo5KspPmb/ykKlUwjUQrQZrgdgKD02" "DRwA8mgAoHMDHd8MAMYluNNmK0b4hUIby7MhVLXigABAcAw8hJ9VGg53j9UT" "6BBmx17hOznyJ74tFsBwGggzV/ZryHoCdykP9vpFUYeTLAoayy3bg/9L1h8A" "rnVlxhsINMCEtLLaPvFlwme/E+FXDLX2Zp2pbyG+1jvOYtVny8VQudabtVZP" "wFU6PvOE2eeDi06IscX6W14BW4RG8xr1BDZ8PYF1F/QzGQB4kNcvKmw6yaKg" "sVwXAIIFcBoA4L0A4N/18X/V4Pi7xleNY75Sw1XVi0b/0ze2UOA+JNJvO+ed" "UtEub3wKVpBCxPivhPh+iDiXd7Dkow5ZNTzh1+1e4ziEfvUEQnuvbj0BT/jx" "nuZln2KfV9QT8FVyQvPNOwaAB3n9ciHjU6L/w3YG0tvOdgUAHwQA/9DH/03j" "P7IuABxp1DIPAgyzBvpeqbHnAsRuJApHdPrDh24xU7CARC6/GWc+cvP4qMPZ" "0LSiFOrgm+L7+Q2qJ+AqCuEuOwlseaeegCn+j+sJmMtqeW641majkod5/XyV" "4ElXBM7LEADQCgBwIAD4LADA7P+7xluN95kHgP3MbwPONS40GpkHgrsFgH6B" "JF+DSXzsSl+F1NcJn3zuA+82IvlmxhXgsKy11flewsg3NW134uKvqieA0hzc" "op6AhRfbv3/X+9WHeP3sA1Ok+076AUB+G7C7u+sAQK/Xzs/Pj2u12o4+9ynz" "Kz/K/1HjS+Z5AEDgMOsCASDQt7/8WAuC5ENJO/3hL5qdenHTIJaAM0fRkaov" "OrIcymxXKyHCz3XBubt6Av3+/buUK69faNwyVdcvF0o96fM3jMQA8IOCIG0B" "QP309PRMFsCRPreX+VUfxf8cxqdw5DmAAE6ALUFfz8BYASCfTGIVdWGPm1Ge" "fT8f8l1KPux4PoSsxmyxr4k/XD2BWkgrtjRnACBfTwCib5Pa/qHSbz7k10Jm" "JwEAg65fPRQuaYWGLZOUQuf6dbdU1hZukudvGMkDwOHh4Xd5AFgAAgYAoCkA" "qAsAzvW5Ey1K7PkNCD7rHnvfarXYGhgncKBxlvltwPfnb9w1AeN0Um4c1+4L" "JrzlC0y4jjzcSK12aAbiPn2nF8DvDS2KzANBJcpPt6i1YeoJMF8j/XpamwkA" "WP0dW702m71cnw9966sdH7XlFRjpOAnCqu/1u2x1uha1wvWaBgTAuLdcfxd2" "7MBgsudvGAEAtJ93AHBycuIAAOW3kbMAWgKASwFAXZ9hj18DBDT2NACA33X8" "Vcd3l5eXWAPGCdwtACD5ghLsg1H6xmW3KGcnjjxnEdylWKRavniEG1Gc+jD1" "BKwachweay4/MuM2XKXfavbmKZ1xAgDMd1ubWQj0JG/e/PUzMLM5TQCr+128" "TmShna+4QvK0Kz9CMhAWgPb2mZTb8QCAgHEBBgIcZSG0BRItAUBLn2nqnpRa" "tVjhD3Rkxf8AAGi80/gk/d7HUsgmAQBIXFLKbiIUxJuVvk14XBLscoL7yk6I" "sYWrZlkPYzRMPQEDsZ7YeGuNRV385W5d/HxjDNd8M2ptNUmJr19e6Se/AfAS" "JxIZGEzL+buOAABYALVarWMFxCAQAwFbBL3e1nvbAoC2Psu4kLIf67iLFaDx" "mx6/1/GrQOEgAMRkACAW14XHKX+rWyX4rNt44yIqijmNMkw9gX4yqDXWY+6M" "k8QDgPEAZgUAAii7AQHKDxAEAHDvI3xYwNHWZ5v6jjMdjqTsuxqf9RjlZ/U/" "1v149yRgLLZqeGY5RM3ReffYr6C026aP3FkIkZ0ScrnPRK6oJ5DLh+85yeF/" "vjVWb2ccBwBRc9MEAI9PWm5r7LcBKDWWACDAQOHNMxADAJYC7+MzbAUkcAKA" "wInGgcahvvNEo6ZRz5z6fa9YY+cA4hZczVZ39cxXCt63rjLNbs+9qZMr6gnk" "8+H9yY34hSjqzzobvYQD0BbAOICVuXLojpsA4DFJy9WiaLltAAMQYIU3ayC2" "BGILABDgvcYH1D0S1HTAQ8C40Gjou7EQ2u121zq143hbgwWG3xF/BNCEVRNX" "GTXyYc8h0SDT9k59kYx66IwzrdKvnkC/fHi3Fw25+y5V1fmqfd4/4ambLu5f" "24AntDbzmWq0/raagkkej/gWei23DTCPgFkCKHlsCaD8ZgEYSOi9bUBA41Kf" "berY4Mhgd6DBNqFtQGNA4FPhx+YGRDmybgsuIue0wtNX7iA04TQLgMy5gxA4" "U29MLwcwqJ5Av1gG1xkntMWyYp/EpFt9Q1/osxpKnfsYgMVQ9ecxNMZI0hUD" "ANsKGB/AyIOAPY4BgPcEEDAggCDEKmjDEYRjB2BiIBhrIBB7ZYJ+XMjseTOE" "zPpoud3QS54OvPsnoZ10pyT31CJAuGI9h75iRSnJ9Xchv6EtFqt/p8bAktX9" "C7UFKz5wJQHA4xJbjU0x89sBAwEbpviRBWAgYKMdPe553YAAoOHfGWsugHeZ" "kSYbCmMc+Z54ri1WyJE/jDvxNH2cwLS4l24jri/eTDEUqAhlsZd8UZH1EPXn" "CovO+QYZ1tdwWopUJLlbyYOAWQIxCNiIVv3Oc/0U3t5rfIGBh4HLWAGAffFF" "jvD7FAg/2H+rKGsxAD2tse6//vu+BqFIBf3vNle6rc1cF6EQ8jsfquRasc/7" "ELiSZPQS78sNCGIQMA9BPGyLkH/NAMGU3lyKtoXgdb6T7x8rADjG/7zp0mJp" "gU0xCZdRdlLvJpVcRiGzIXruIQgrOQCwHNqIWVFKyL+10NrM1acvF3pCjqc5" "Zj3JeCVm6OPtgIGAAYENsw7s7/zqb7kFll+AG9E8CLyf770TANg7vci+HngL" "YMcVyfC+c/gBH14ak2kPQ8rBAmBv79p7rYQiFcsVV7jCN/co9bY1m3C/uiST" "lxgE8pZAPAwQ8qBgLkQAwFb+OJIQIDD34VgBwPLJT6M6ec78P/VFMfM9+Nyk" "J332RyhlOIBQ7KPTWMSt/jOhMUXU2msKc9STTFb6bQfMGjBAsBDivNcgDim2" "KEKyCRn8zfO8Z6wAcJ/yycch096aKsn0Sx4EYoLQACHPExjxl68tsLOzk337" "9s2BwZ0BwH3IJx+XTHtzyiTTL/22A/mjgUAeACyrEAAw5f/69WsnoYj3jBUA" "7k0++Zhk2ttTJ7kfkgeBfqBgcQMxAFhGIQoPAHz58sUBANYAz48dAPyPvAf5" "5GMS0+18jQGrLpQIvyTXlTgwLh/LH4cRx5GD8f6f1R8AYMADmDtw7ADgf2A4" "TnE++TgkVu9OD9OoA25S/iQ3lXykrAGAuQHzpcWwAD5//uyGWQB3BgBJkiQZ" "r/SzAGIAMAsAAMACSACQJMkDkpgDiD0AeQsAEEgWQJIkD0DyHEBcRyD2ABgJ" "CAEIANyZFyBJkiTjkXzegFUTis1/8wBYQVG2AQwLBAIAxpoLkCRJktFLv+Cg" "uL24sf/5YqJWWhxwwELg/QkAkiS5ZxKv+jH5l/f9m9IDAgACg9dQfssgvDId" "+PXr198BQApcSZJkcjIoVZjV3Pb9tudn5CoJty0JyDIBewBgcXExe/LkSfb8" "+fPs559/dgCwvb3dAYBKpRK6qnaHSQKGJEnGL/Hqn68ibHH/uPkg+9jvywJo" "a+VvCxzashCsRFgr9BJod0qCodwAwNramlv1Uf6XL19mW1tbDgB4DZAolUhf" "LbpjPzBIQJAkyfgkv/rH5cJY/WOX39evX9sCA1qJXUr5KRRKZeCGBp2E0H7K" "hLcdAGDez8/PZ6urq9nGxobbBgAEm5ubDhTYHszNzTkrgPeWy2UHAgYI/ayC" "JEmSjFbisF8z/a1IKHt8Vn9W/k+fPmEFtAUITVkGDQEAZYLP9XkGvQTrtBTT" "V7YcAKDQKDgrPSs+ig8QsCUAAJaXl91rgES1WnXWAGAQg0AMBEmSJBm95OP+" "LegH8s9IP/z9AQBaeq4hADiXlUCzkEN9xSEtxDLfLZhmIZdoqwMAFBoQYLXH" "EkDxOdpYWVlxIwYCswjyIJAkSZLRi+3/zfyPG4dY0Q8sgLAFuNRzNYHDsd63" "r8990VcwdjVoKQ4INNDWCxRXIFBgZZdiF6TgBSl6QSt/AaWXVVDAMsAiAAyw" "CGIQiLcECQCSJBm9xARgbP5b0I8RgBb3v7Oz09RzpwKAA1kAX/S53/U17zRo" "GQ4I0DG4jrZ+ZR8g5WUUtaKXpNRlWQPlhYWFogCgKOUvsiVga2AgYLwAoBHz" "AoBJkiRJRiP5/P98E9G4i7CV/WIboGNDr50IJHYEFh/0uf/Q1/xd4zcN2oiz" "JbgAAP5f6X8RDNCYkRJXpMRVgcCsVvmKVvuylL60tbVVwC1IrADWAFYA7kHj" "BAAARgKAJElGI/2qBOdX/7hzsEX7AQJ6ro75r/d91WfeCQD+XV/1vzR+zbwV" "sK9RAwD+78zVqyhUdKzquKDjklb1Ja3w81rpqwKAsgCgaJ4BrADjA/pZAUmS" "JLm99Av5jaP+TPnjYSHAWv3rUv4jmf+f9Zm3+vy/6Sv/VQNL4EPmAeAcAPjv" "GmWNqsaSxprGhpT5iZR7VQCwsLa2NisAKMUAEG8DzEWYACBJktFJv94AcdSf" "rfZhxe+E+4aKP3UBxaE+81mf/VXf8T/1lf+S+W0AALCXBQD4bxqs/ouZV/4t" "jVdS5BdS7A0p+YqUfV6KjxXQAwBGBjJij0AiApMkuZ3kV/4439/2/Zbma2G/" "er4dMv3oDUi38MPLy8vPGr/q+wAALAAA4GMWAcB/0ZjVWNbY0Hip8Qcp8s/a" "3z+Xmf9E5v6C9v4zcXyAAQDbAOMCbBuQIgOTJLmd5FuGW7qvBf2g8Jbj/+3b" "t7bMfqL+XMgvbcL1mZoU/wAA0He91WD/zzbgH5kHgA4H8Crz5v+qxrbGG43/" "JEX+k1b1V1rdN6Toy+vr6zMQgCg/JCBxAvAAcZCQbQNSdGCSJLeT2OfP6m/V" "fuNa/1bok7BfPXep15p6X0OfqQs8TjX29D0AAMz//9GACMQVSDwAXgAHAJB+" "c5k3/59r/KLxNynxn2dnZ99oG7ClFX5Zyl5B6U35bViAUGwFpBDhJEluJ+by" "i4N+LObfgn6szp8sgEtZBU2Z/3rrxZmA41SfR8F3AAAd32ee/Wew+u9kPhjI" "xQHgtwMA1jVeaPxR45+lwH+RMv8sENiWYq8sLS3hEnTKbpGCZg3YdiD2CFhk" "YIoOTJJkeDEAsNXfQn5j8s8sAAFAUwBwoe3BibYKR6z8+opvmV/pAQDcfhB/" "KL/FAJxmIRKQkQeAv0lp/woPIGXellKvCAQqRAjGocJsCWzEbsF8YFCyApIk" "GU7ylX7joB+zAAIHQNYfMf96y+mhLICvAg8UHYVH8QEAgABAABhY+YkCvNBo" "xgDQswWQwv5ZyvuzlHhbyrwipa4KCApEBxIiTHgwhCCeAY6WNQgXkA8MSsFB" "SZIMJ1gAxvzHq38c8BPq/JH1V5fyH4Wov4/6rJn7mP4AACa/rfrkADjlz0Iy" "EOM7ElDjTwKBn6S82xprAoJ5gcAMIcICgJIUnvDgQhwbYG7BfGBQsgCSJBlO" "4qg/S/ixIB+UP+r4CwFYk/Ifnp+ffxFgvBMAQPZB+kH+AQCs/Cg/GYCNLCi/" "RtsA4Ds3oMYvUlo8BNs6PtFYlkLPSbmr8AECgLIU30UHYgUYAEAGGgDECUIJ" "AJIkub4YAGD+x4U+81F/OrYFDjUy/vTej/rMPwQAuPtw+73NPAAcaNQyr/S0" "5GzbMACg4qcFAmEFAAIo/4vw9wYgIEtgVcqtrf7ivACgQnCQAYDlB8RbgDhN" "OEmSJNcXAMACf+ImH/lCn3qNkl/nshT29P6PzWbz7wIAAn4I/GEbAABg/rP6" "W0+xTm8xW5YBgDgU+GnmIwK3o/GM7YCU+4kAALegiw7c3t4usAUAAOLKQVY6" "LHkBkiQZXqzmnyX9REk+caFPXm9r5T/Xyr+n8UGfI9KPkN9+APCdmGYSwO+S" "gTIfF9DJCci88mMJwAf8JAB4JjP/iVb7xY2NjQpZgkYCmhcgv/onAOgv+SaP" "saRz9vgkbv9t/v847t9Cfin0SZVfKT4hvy3Kfen9u1L+j7IcAAAsALYBbzMP" "AM7n3+/ftLsMGx0QwBIwIGBLgGsQAHit8Ucp8x8FAK+l5JuyAFZIEtLqX7DQ" "YAOAODEouQG7km/znG/1bJIqLz8e6Zfya0U/LOc/7vFHyK9W/5ZWfkJ+m4je" "f6LP7WrgASDUF+W3qD/8/pQBuxIAjAsoRcNcgwDATxr/ieAgKTc5Atsy99eE" "AaQKu2pBxAGYGzBfLiwlCHnJF3ewCx6DgQFm3npK5+9hSnwv9Iv7t4o/Yf9P" "vH9Lq/+lXq8LJC70uZoGtf52gv8fpccDYGm/uADx+zf6/fuFPo9jz0DsGvyL" "bsK/SbH/ICuATME1bQPmtPIXLBLQ8gLiuoH5BKHHLnmktxFqtHe4k7jgKpLO" "38OVQYU+UX4jAAPxh+nfJNVXq/85K7/uG8z7XR0J9CHwh9Jfb8ORACA8ALgA" "m/3+7avuKrYCK5kHgJ81/qqb8J+lzH8UCLwQCKxLyedC7cAsHyZsYGCRgckT" "4CVO8bRQT45mAaD0nK8UR/F4JFb+2N9vbL9ZAez79Z66Vv8zfeYoJPtg4lvI" "r4X9WvQfGX+Y/+YC/E6uAwB4AwwASBL6I7UCBALrAoE5rfJFFF3mf8HyA/AK" "xOHB1lRk1HLflCJf1906u/DYLACr0GzjPkRTXkVmJrlaLObfuvtYmi/7fVh/" "9vxYA6Gn36XumZrulyOt/uz5UXRWeiL+UHwL+UXxYf4x/c8zb/63+v37PwIA" "goM2M88B/FnjL7oR/6DxQgq9rptzIRQQLQoAigKAghS/QHux2DXIVoAbeVSS" "70o0zVmH+W6uRvDEbZ2iRo1u5Q/VmXsCqqap90K+PXU8kgwnFvLL6m/NPUJd" "f0CAKL+WlL+l+wS2n1TfU91D+7pfiPlH+XH1EfHH/t+UH5MfxSfk1yL/+l6c" "q+4kPAK4Ay06kCQhwoN/1nium/GpxpJuTt2nsxWt9GWZ/6WNjQ0XHWh9BdkK" "jBIATAHiNmXT6nI0gi/e68fKb2megwAgJlMHdWO6a+nHYdjjBALDiwEA+36r" "8vPx40eX5KPHLVp76bUGFX4C4XcUCL9PIc8f1h/iD2uAct+Y/Bbr3wn5zW4A" "AGisRQc+y7wVwFbgNQCQ+ejANd2Mi7pB5yVVmfwzVBAmOIjYALMALDJwFBIr" "vSnHNO6X833cUPJY8Vn58xZA6M/QAwA8tk5Mk/asxLXpbRtjgBaDQZLrS2wB" "sM/HAgiNPejsc6nnLnSP1Fj56eqjQVy/ZfwZ4ccWAC4Awo+V37X9yqKQ30H/" "/o8AgHgAeIAnmQcBAoKeh8dsDTYJEdZNu6qblRDhOUCAbYC5Bq2z8Cg4AGPJ" "405GjHyDkmmQfBMHy+nmQjMMABhRq+YOsKH0NjeOeFWs3oKdz0nwAjGgWZUa" "s2JsHskKuL4YB8B9wF4f4g8rYG9vr0WOv+4ZwnzZ82Pa49Iz0i/O8edvgIE9" "P4TflUofy1UAwN2FK5B4ALgACxFG8SEGO4Cgm3ELUhAQkMLPyuwvxqnBo/IC" "GEnG91kvQ8hHQCYOQJoGCyDO5gplnPHhOjInEDpOaWIvgAGcWTUGcMyP88lc" "DQjsnN7lXPs1prCQVP6O5pIQ4JpilmI+75/yXlL+Gsqv87oTCD9T+Jjww+xn" "5Y8Jv2uf/x8BAMspIBCHCBMdCAhgCZAw9EY34kuBwIYUcBnPAKRg3DDETNbb" "SgAA18LM6hIANhylGMUQd1Dot0cehaJcFcmXfy0AAG6bNvHaQnMQ3UVxhX7t" "bVMYWzVjfiNwAYCba9NGhyYNHhcpzMJrBna3mWv+d8fz6TfffIiqlaJmTnq+" "rdet7XTHBKUB7a1P/gMVu/b5jr9Y/QKFUz3n+voFwu9t1mX8sQawClB8cvxZ" "+VF+2/NfSwo/eK1fiDDWAJYAFgAhwqQNv5bSbbIVIGVYo2hmanyT3laC+V8E" "YKQYJbYbq6ur1CeY4W9cklKM4jjJsnwUXzxipdHfbV1AlOJSykHJpmbo1krL" "5svA6rbjz9nvDFZAIQBAKRCsjBlZAWUBgJsr7xmFhyDvqTDuos+cOi4rC1G1" "AJXAVF+G/vMN/Q5HQul4JQmVJOshiiNupUFtP/1thT3fZp7xtwAfM/kh/Ajz" "NcJvKBLmR3eLgYANgABLgO0AVgAWAMTgS11o+IAV3ZBzuhmLMSE3qr2qvqeg" "m56VfkZKUZFCwDnMSSkISKrwPIoh8CnkKxKNygLIu/PsguUVBgDAayMQaAjR" "L7RKnktRGHRsJZiDGO4Wn/vupHsroKC5oOwzArhZ5hnmWqVlG68BdrZduA3g" "5QlLm5dZJ/G88s0pAgBg1TRhqvUdMNWYouf6HR03VLICrpbYYxSuRVN/Q/zt" "hcKeMP5vM78NwPR3VX2zLuEXk37XluvcKfF7sAbMCoAYJEoQEMAaWKdoSOa3" "DMVxEFQohZQal8PsAqWKJVKIVdKT9ee8lKJKxSKNQp4YHBUA5Lu0GJmXN+d1" "RLkBckgc6fzJoRTm8NgXbzsjoovlctB+mUatuFc1r6rGoqZKYdZVHjNXzdGB" "QL4127Bg16/rbOydYE6xi884gLhMFVYN8wkVaUlMYU9qgSg1/R5WqOQeuKYE" "TwoAAJByHlH4D2HY6o+vH4D9Lsd/GBlWK3g/W4H5zIMAfABxAk/C3zyPlTAW" "ejpYAMQdLAgEUPynOlK1eIM2ZnoMLhCTUMwTg6MAJFMUI2xMCcKereMOC8py" "id9Wfx9LaajW8oWSTVL+XR2PNAjnbAz6tzCiqL6kOdGjcU3z2QxzpWXbMn5X" "tgbwA3EC1rBgF4OasfoWedaP3Y/70zNv+A0NVn8XnkqEWlixYKt/GIqaZKBw" "vlBwFB1A3cm6+347p40bf3uQmwAA7kFAAO8AcQLL4TgXni/f4HuvJayKdC6W" "Yizrhn+q43PSkwUKJCcBBiu4IglICt4BswQK18msy6fn5hfniARzxB7VWOjG" "wkAZeN7CejNvmp2xGkopvtKfXcrE+CzF2tP3UML54oq5lomv0O+nCtOm5vBS" "4zWZmICA5qYpLrE1KATvgOMM8h6X68w1v6rHxB6gELiKtkmwFtohroEjmWkn" "ej5uRBH7ps+yAckoSQYKZgAKzj3C+TsOA0BA+bGqbg2qNwEAIwZR9tloVMLz" "xRt873WlCMkoRVjV2NSN/kp/U7qcGgXbUoo1ghEEAPADZTwFEIbslfN8QF4x" "+qXoxj7tyAR2RRggvaQkkHvWlMGFa/J6eC83PCbwPlFb+q539GjT6x/1+R09" "PqKDSzbAdNOcygIBgqzW9Nuf6fFPzJVMTACBaVKVSQMSFEugaPzHVY1ZYkIv" "b/pbBppVnWGOtJqSfrOjcaResGwQGH8AD0BgO0NyiqWkQlYRnWZtqDkPCQCG" "Ey4UCs554z4BCEzxje2/9bbqJooaE4Os9qVwtOfG6ZhGmed0o61KMRwJGXoX" "uEpFUoJOM1MpBjwBvQzKUoySEYP9CpXG+2AzcW3vGxNgYfVzvddIyZSyXEhR" "LgjYgNiTsrDpt6US4ot0TQcAeky11l9D1ZYdGjhkvXXa8lLWb17Ub3WRmFRj" "Yq60awPsNNd1OBDNtWpzZZ6BGCwMKsgSE35xaHK8pw8AALN/qTlBZIIAnRtQ" "39EwIAg0Bq8znwQAo5VWNIzltzESz8pNlbXQZ9zm+64rAIwjIXWTP9VN9wIX" "pG76V2wHpBSboZkphNkSZBkhypBlwUXYCbKJM+xs1bfQVlbDOEgnAgEAoKnn" "YfHPYfYEAkcCAB6eQ4RJmoHYc1sA/b4DUjZ1fK/v+U3/5mfqt2VeKa4EAI15" "/eZV/Zub+izWDluAl2wD4ARo2QgIYPXob+IvKpCger0Q5xAYCOQJP+MyzK0X" "156n8ATMPmGoei9bmY4Jqu+C6XcmaLAoLnRkPszLMtQYaQtwe2n3GVk2Irfq" "5EPmhhM0lq0GrkiKlWyExiXPdMOjFNtS+C3pA/0MIctWNSAGZ/GdWxSdKUcM" "AHH75UBudYDAIvVQbG58KdAZKziVWKUk3yD2aMwAscd2mLdlHqVZMVEaVkGU" "wRI2WC1NKQZdSPO4WH3GrVCU9RkWAIQgcwUINNaNGAQEjBi0sGGLxehH4llk" "IspvW4BAArL602MaX/QBJaeybraZkVD2+xthPkdhfl+ya+ajJ5ms3DcAMBIS" "xTBPxJpucgjBTVbGUKzklR6/CN6BFSwBAmiIGAxFSgrmO0eiGmztsApC8DmG" "20AgAACKzep3jEJIMT7r9d81Pum9lGU+CmWazGxjleTmZ3XEnWMhm2dZN3hj" "kFjchZGtK1LkNf3uDY0tzeGZ5vkyWD/b+tt5B4gToHFLFIrtrAEDgCiUtx0K" "TbSDK69tYAAIUm9O76PYJCQmpj0uKCP24kYTtletZ12y6jC7Zj56ksnKfQQA" "UwzzRNCxaEU3+RMdn2m8NF5AAPBMSrAOY84+WQPFgBh0jDlxBXwp+1jY7KAU" "rUDstYzY47WwDeAmdr5Z8rE13gdi770U/6sGvdl43YIyUHBjcs/DMCLHAjiu" "mms5zNVyMrDzIQU3NJ6zJaCBqwa8AG5CyrQtECFJiDTEIJyAeUGMxKSaLEUl" "MfOZKznnzDWqNAsgEqh0mmP232bd7rJWaLKddfeoBng21x/moyeZrNw3AECM" "bLQQZdqVLdC+LPNmMrULIMveYAWgGMaY4x3QY9feTK+XYgDQaucILylBQwpR" "Z/BYinIJ6w/rrbe2QnAGK/nXoBS/cpRi4eo7YNXMeqOyjMk1MIhDNq9SirhQ" "azkMYiCWNEcyMLdD67ZfAAIsAgHdUwGcEYMEEREZWSJ6khiK4O93JCZzFQDU" "ba4h+cTNNZCgcBkn1mM+88oPuWeFJi3v3ObZiubayK6Zj55ksnIfASAuZW4K" "QgbSsu7Zp9QqQDGkFHgGXoR9siMGJcYKzuJmI9iGL4LMZsXT6kf65ZlWxVMG" "LZdCAUYXtstbIcDova7jNx0xid9hCQhMvpG5lfmVz1b2mLhp5Y7XUYiYZDWv" "y5wRg4CdxhvNlS3Pc/gAAcC6AMBxoDonVQEdc3UBFM410WxSVooCEzXNj7me" "aJwxV/g+wAHdz7zLj1BUwM6IPWP2rfBEvtvMoHkm5Z9SuY8A0G8OFp3oiEEN" "zGNW/+caW4CAFGID1xlBRLjX9PqslNhVKfF60YTwOkGJtfLvSSEg+I4BBEow" "AxKZv5FhvI3Yg+jK52OzKg4y7W+jCHEjV+vZgMXj5qo5PjNSkAhJYiLIzqSf" "K1WbNNdiIDFJMnHhyZragcauLIED3Bihw4yBHfMFzIzY+5R1y04Zs39V6mlS" "+nsgDwEAEGceZ93IxHXSk1F+KcFWAALIQZQFz8GqFMfClpGGFIM49gMBASv5" "R5nIEHu7AAKKEQDA9rooBsqOItwqH3tIsT6ORgwCeE80xw1ZAFvBQ/CcrQ/E" "oOZJ2bZloicBO6//LeeyY28vZf9CQ0lClDVXwpUJW74I2508sbeX9ekvP+kL" "n+R28lAAwPbJgACKvRARg1uECrMlYEgpSFyi0SnutUr4fD34uWmv9ElK8E7D" "he1qsK+HDDMiC8VAySG7UI5b5WMPKbblsV6OzBVrZo1Q6OAOfan5UqPhlcYW" "Zds4H8HacRxGqCX/DfJS83onIHivOX4TABySzWfbnayX2DvN+vSXn/SFT3I7" "eSgAEJNl5iEgM4gVchMA0PEN3oHMVzGinsGSlALAYAvADQ0AQG7RYBGy6y0A" "QDqmVsg4ki0mu+rRuFE+9g3ECFDLyYAYXJSyU6UZhXfh0Tq+yXxrd7YKC+G8" "mBcDvz7uvN/CXDl+lbVzQPZi1ruPN8Czefb0l7/by5xk1PKQACAmy4wYXNIq" "ty7lcLH0UuY3ma9kZADgLABCWbMuAHzU82+lEL9Rhkmf29PKGHdWickuU5Ab" "52PfYq5xODYJUiuaJ/yHAzvNieKtpGs7ALAtgB5jARzqvc6NmXlmnyjFrwI8" "fPd5EjMOR/2uv/zdXuYko5aHAgD5+ZgPHROZoqbs+1/oxn+pQQ4BoMCqGG8B" "UPI9KYoL2xUAmLsrrrQaS3vAcRJzxZJhPs4KIERaj5kvgAAwzIXz0Qox/Uea" "I/t5chR+11zjktKY+3krZtJzTTImeWgAEIvVM7SwYWoWoBDsidn/s4e2WuUo" "dxy2GxdbtMIL07zfNU7AwoafhrEa5j8bzoelmMZhu8zVyD2LUEwK/kjkIQNA" "xzzOvCWwFMZCeM7SlxFjvI3sssQXI/d+FLU3abH07Lh461KYN8pvRVosOpG5" "mifDWP3zrMtlJAB4JPKQASAmBq12gSn+TNatXYDkyS6UPl9scZqVol/xVqvR" "YCnbhayX2LMccxtWYOJHEYpJHpA8ZABA4oKmFk4bFy2x+fcLZ42LLU7z6p9l" "vWHDNuIaDXE9tJjYM3DLF5ZM8kjkoQNATJT9qHZBPqR15LnXE5prv2s8aK73" "YZ5JRigPHQCSJElyhSQASJLkEUsCgCRJHrEkAEiS5BFLAoAkSR6xJABIkuQR" "SwKAJEkesSQASJLkEUsCgCRJHrEkAEiS5BFLAoAkSR6xJABIkuQRSwKAJEke" "sSQASJLkEUsCgCRJHrEkAEiS5BFLAoAkSR6xJABIkuQRSwKAJEkesSQASJLk" "EUsCgCRJHrEkAEiS5BHL/w86hMwc7k1fxwAAAABJRU5ErkJggigAAAAwAAAA" "YAAAAAEAIAAAAAAAgCUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAP8AAAD/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAA/wAAAP8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAA/4CAgP+AgID/AAAA/wAAAP8AAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAD/gICA/4CAgP8AAAD/AAAA/wAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAD/gICA/4CAgP+AgID/gICA/4CAgP8AAAD/" "AAAA/wAAAAAAAAAAAAAAAAAAAP+AgID/gICA/4CAgP+AgID/AAAA/wAAAP8A" "AAD/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAD/AAAA/wAAAP8AAAD/AAAA/wAAAP+AgID/gICA/4CAgP+AgID/" "gICA/4CAgP+AgID/gICA/wAAAP8AAAD/AAAA/4CAgP+AgID/gICA/4CAgP+A" "gID/gICA/4CAgP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAA" "AP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAP8AAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+AgID/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/AAAA" "/wAAAP8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP+AgID/gICA/4CAgP+AgID/" "gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+A" "gID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CA" "gP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA" "/4CAgP+AgID/gICA/wAAAP8AAAD/AAAAAAAAAAAAAAAAAAAA/wAAAP+ZmZn/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/25ubv8AAAD/AAAAAAAAAAAAAAAA" "AAAA/319ff/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/5SUlP8AAAD/" "AAAA/wAAAAAAAAD/AAAA/5mZmf/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP95eXn/AAAA/wAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP+UlJT/AAAA/wAAAP8AAAD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/hYWF/wAAAP8A" "AAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/iIiI/wAAAP8AAAD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BA" "AP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/6mpqf+IiIj/YWFh/wAAAP8AAAD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA" "/4BAAP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/paWl/1ZWVv8iIiL/AAAA/wAAAP8AAAD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP+AQAD/gEAA/4BAAP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/ZGRk/wAAAP8AAAD/AAAAAAAA" "AAAAAAD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA" "/4BAAP+AQAD/wMDA/8DAwP/AwMD/gEAA/4BAAP+AQAD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+A" "QAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/YWFh/wAA" "AP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA" "/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA/4BAAP+AQAD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BAAP+A" "QAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/XV1d/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA" "/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/4BAAP/AwMD/" "wMDA/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+A" "QAD/gEAA/7qzrf/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP++vr7/YWFh/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/4BAAP/AwMD/wMDA/8DAwP/AwMD/" "gEAA/4BAAP+AQAD/wMDA/8DAwP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP+AQAD/gEAA/4BAAP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/YWFh/wAAAP8AAAAAAAAA" "AAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/4BAAP/AwMD/" "wMDA/8DAwP/AwMD/vLm2/4BAAP+AQAD/wMDA/8DAwP+AQAD/gEAA/4BAAP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/gEAA/4BAAP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP++vr7/YWFh" "/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "gEAA/4BAAP+AQAD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/8DAwP/A" "wMD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/AwMD/gEAA/4BA" "AP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/YWFh/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/gEAA/4BAAP+AQAD/wMDA/8DAwP/AwMD/wMDA/8DAwP+A" "QAD/gEAA/8DAwP/AwMD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BA" "AP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/YWFh/wAAAP8AAAAAAAAAAAAAAAAAAAD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/8DAwP/A" "wMD/wMDA/8DAwP+AQAD/gEAA/8DAwP/AwMD/wMDA/4BAAP+AQAD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/XV1d/wAAAP8AAAAA" "AAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+A" "QAD/gEAA/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/8DAwP/AwMD/wMDA/4BA" "AP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP++vr7/" "YWFh/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DA" "wP/AwMD/wMDA/8DAwP+AQAD/gEAA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP++vr7/YWFh/wAAAP8AAAAAAAAAAAAAAAAAAAD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BA" "AP+AQAD/wMDA/8DAwP/AwMD/wMDA/8DAwP9/PwD/gEAA/4BAAP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/" "gEAA/8DAwP/AwMD/wMDA/8DAwP++vr7/YWFh/wAAAP8AAAAAAAAAAAAAAAAA" "AAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/gEAA" "/4BAAP+AQAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/oqKi/wAAAP8A" "AAD/AAAA/319ff8AAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/" "gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/6Kiov99fX3/AAAA/wAAAP8AAAD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/4BAAP+AQAD/gEAA/4BAAP+AQAD/" "gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/4BAAP+AQAD/gEAA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/iIiI/wAAAP8AAAD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/iIiI/wAA" "AP8AAAD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP+ZmZn/AAAA/wAAAP8AAAD/AAAA/52dnf/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP95eXn/AAAA/wAAAAAAAAAAAAAA/319ff/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/5mZmf8AAAD/AAAA/wAAAAAAAAAAAAAA" "/wAAAP+dnZ3/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/25ubv8AAAD/AAAA" "AAAAAAAAAAAAAAAAAAAAAP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/" "gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+A" "gID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CA" "gP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+AgID/gICA" "/wAAAP8AAAD/AAAAAAAAAAAAAAAAAAAAAAAAAP8AAAD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+A" "gID/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP+AgID/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/AAAA/wAAAP8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/" "AAAA/wAAAP8AAAD/AAAA/wAAAP+AgID/gICA/4CAgP+AgID/gICA/4CAgP+A" "gID/gICA/wAAAP8AAAD/AAAA/4CAgP+AgID/gICA/4CAgP+AgID/gICA/4CA" "gP+AgID/AAAA/wAAAP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAAAP8AAAD/AAAA" "/wAAAP8AAAD/AAAA/wAAAP8AAAD/AAAA/wAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/gICA/4CAgP+A" "gID/gICA/4CAgP8AAAD/AAAA/wAAAAAAAAAAAAAAAAAAAP+AgID/gICA/4CA" "gP+AgID/gICA/wAAAP8AAAD/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAA/4CAgP+AgID/AAAA/wAAAP8AAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAD/gICA/4CAgP8AAAD/AAAA/wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAP8AAAD/AAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAA/wAAAP8AAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD///////8AAP///////wAA////////" "AAD///////8AAP///////wAA/+f8////AAD/wfg///8AAP+AcA///wAA4AAA" "AAAPAADAAAAAAAcAAMAAAAAAAwAAgAAAAAADAACAAAAAAAEAAAAAAAAAAQAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AwAAAAAAAAAHAAAAAAAAAAcAAAAAAAAABwAAAAAAAAAHAAAAAAAAAAcAAAAA" "AAAABwAAAAAAAAAHAAAAAAAAAAcAAAAAAAAABwAAAAAAAAAHAAAAAAAAAAcA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAEAAIAAAAAAAQAAgAAAAAADAADAAAAAAAMAAMAAAAAABwAA4AAAAAAPAAD/" "gHAP//8AAP/B+D///wAA/+f8////AAD///////8AAP///////wAA////////" "AAAoAAAAIAAAAEAAAAABACAAAAAAAIAQAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAACAAAAAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAA" "AAMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAADRUVFXcVFRV3AAAAHQAAAAQAAAAAAAAAAAAAAAQRERFKFhYWkwoKCi8A" "AAALAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAAAADAAAAAwAAAA4iIiJ9XFxc" "81tbW/QxMTHOERERZwcHByAAAAAFFBQUTElJSdpnZ2f7Kysr4QMDA4YAAAA6" "AAAABAAAAAMAAAADAAAAAwAAAAMAAAADAAAAAwAAAAMAAAADAAAAAwAAAAEA" "AAAAAAAAAAAAAAAAAAAlDQ0NlSMjI6YjIyOmLi4usWdnZ/KGhob/h4eH/4GB" "gf9gYGD6PDw8wx4eHqdTU1PfgYGB/4eHh/93d3f/U1NT/SQkJN0jIyOnIyMj" "piMjI6YjIyOmIyMjpiMjI6YjIyOmIyMjpiMjI6YXFxekAAAASQAAAAQAAAAA" "AAAABQoKCphMTEz7kZGR/5OTk/+VlZX/oaGh/6Ojo/+jo6P/o6Oj/6Ojo/+U" "lJT/gYGB/52dnf+jo6P/o6Oj/6Ojo/+hoaH/lZWV/5OTk/+Tk5P/k5OT/5OT" "k/+Tk5P/k5OT/5OTk/+Tk5P/k5OT/29vb/4VFRXZAAAASQAAAAEAAAA7HR0d" "34uLi/+qqqr/q6ur/6urq/+rq6v/q6ur/6urq/+rq6v/q6ur/6qqqv+qqqr/" "q6ur/6urq/+rq6v/q6ur/6urq/+rq6v/q6ur/6urq/+rq6v/q6ur/6urq/+r" "q6v/q6ur/6urq/+rq6v/qKio/2JiYv8NDQ2uAAAADQUFBZJYWFj9tbW1/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP+/v7//m5ub/ysrK/ADAwNPLCws/Z+fn/++vr7/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA" "/8DAwP+6urr/aGho/gkJCcRDQ0P/vb29/8DAwP+/v77/v769/7++vf+/vr3/" "v769/7++vf+/vr3/v769/8C/v//AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/7+/" "v/+goKD/KSkp/0NDQ/+9vb3/v7++/6mSev+Xb0b/lm1D/5ZtQ/+WbUP/lm1D" "/5ZtQ/+XbkX/rpuJ/7++vf/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+/v7//rKys/4GBgf8i" "IiL/Q0ND/729vf/AwMD/tqyi/5lzS/+Vaj//lWo//5VqP/+Va0D/k2c6/4NH" "Cv+MWCT/ubGq/8DAwP/AwMD/wMDA/8DAwP+/v77/v769/7++vf+/vr3/v769" "/7+/vv/AwMD/wMDA/8DAwP/AwMD/wMDA/66urv9bW1v/IyMj3wYGBqlDQ0P/" "vb29/7+/vv+liW7/lmtB/5VrQP+Va0D/lWtA/6GDZP+5sqz/oYFh/4ZMEv+i" "hGf/v7++/8DAwP/AwMD/v7++/6WJbv+WbUP/lm1D/5ZtQ/+WbUP/ooVn/726" "tv/AwMD/wMDA/8DAwP/AwMD/np6e/ycnJ+oAAABPAAAABUNDQ/+9vb3/v769" "/5ZtQ/+AQQH/jlwq/5ZsQv+TZzr/hk0T/6GCY/+5s6z/lGg7/49eLf+4sKf/" "wMDA/8DAwP+/v77/ooNl/4NGCf+NWif/lWtB/5ZsQv+Zc0z/tKic/8DAwP/A" "wMD/wMDA/8DAwP+cnJz/JiYm3wAAAB8AAAAAQ0ND/729vf/Av7//rJiE/4ZL" "EP+TZzr/urSu/7y5tf+ggGD/hk0T/6KEZv+rl4L/hkwT/6aMc/+/vr3/wMDA" "/8DAwP+4san/jFgk/5JkN/+6s67/v769/7++vf/Av7//wMDA/8DAwP/AwMD/" "wMDA/52dnf8oKCjfAAAAHwAAAABDQ0P/vb29/8DAwP+7tbD/lGc6/4ZLEf+s" "mYb/wL+//7y4s/+TZzr/j14t/7OlmP+UZzr/ilQe/6aMcv+qlH7/qpV//7eu" "pf+mi3H/hk0T/6WKb/+qlH7/qpV//7iwqP/AwMD/wMDA/8DAwP/AwMD/nJyc" "/ygoKN8AAAAfAAAAAENDQ/+9vb3/wMDA/8DAwP+sl4P/hEcL/5RpPf+8ubX/" "wL+//6yYhP+HTBP/qpR//6yXg/+ERwv/g0cL/4lRGv+JUhv/m3ZR/7Onmv+P" "Xiz/g0cL/4lRGv+JUhv/m3ZR/7y4tP/AwMD/wMDA/8DAwP+dnZ3/KCgo3wAA" "AB8AAAAAQ0ND/729vf/AwMD/wMDA/7y5tf+UaDv/hEgL/6yYhP+/vr3/t66m" "/4lRGv+qlH7/vLm1/5RoO/+MWSX/sKCQ/7iwqP+5sqv/vry6/5ZtQ/+MWSX/" "r5+O/7eupf+4sKj/vr27/8DAwP/AwMD/wMDA/5ycnP8mJibfAAAAHwAAAABD" "Q0P/vb29/8DAwP/AwMD/wL+//6yYhP+GSxD/j10s/5ZtQ/+UZzv/hkwT/62Z" "hv/Av7//rJiE/4ZME/+mjHP/v769/8DAwP/Av7//rJiE/4ZMEf+PXi3/lm1D" "/5ZtQ/+ihWf/vbq2/8DAwP/AwMD/nJyc/ygoKN8AAAAfAAAAAENDQ/+9vb3/" "wMDA/8DAwP/AwMD/u7Ww/5t2Uf+WbEL/lmxC/5ZsQv+ihGb/vbm2/8DAwP+7" "tbD/k2c6/4pUHv+tmoj/v768/7++vf+6tK7/mnVO/5VqP/+Vaj//lWo//5lx" "Sv+0qJz/wMDA/8DAwP+kpKT/Ly8v6gQEBGxPT09XQ0ND/729vf/AwMD/wMDA" "/8DAwP/AwMD/v769/7++vf+/vr3/v769/7+/vv/AwMD/wMDA/8DAwP+sl4P/" "hEcL/4hRGf+WbEL/lm1D/5ZsQ/+Wa0H/lWtA/5VrQP+Va0D/oYNk/7y5tf/A" "wMD/wMDA/7u7u/+Dg4P/Q0ND/CIiIvtDQ0P/vb29/8DAwP/AwMD/wMDA/8DA" "wP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/725tv+ihGX/lmxC" "/5ZsQv+WbEL/lmxC/5ZsQv+WbEL/lmxC/5ZsQv+Zc0z/tKic/8DAwP/AwMD/" "wMDA/729vf+fn5//KSkp/zs7O/+zs7P/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/7+/vv+/vr3/v769/7++" "vf+/vr3/v769/7++vf+/vr3/v769/7++vf/Av7//wMDA/8DAwP/AwMD/vLy8" "/319ff8TExPrDAwMxm9vb/67u7v/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/" "wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/A" "wMD/wMDA/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DAwP+rq6v/Q0ND+gIC" "AmsDAwNRLy8v8p2dnf+4uLj/uLi4/7i4uP+4uLj/uLi4/7i4uP+4uLj/uLi4" "/7i4uP+4uLj/uLi4/7i4uP+4uLj/uLi4/7i4uP+4uLj/uLi4/7i4uP+4uLj/" "uLi4/7i4uP+4uLj/uLi4/7i4uP+4uLj/t7e3/3t7e/8TExPEAAAAIAAAAA8P" "Dw+xZGRk/5qamv+bm5v/nJyc/52dnf+dnZ3/nZ2d/52dnf+dnZ3/mZmZ/5CQ" "kP+cnJz/nZ2d/52dnf+dnZ3/nZ2d/5ycnP+ZmZn/kJCQ/5ubm/+bm5v/m5ub" "/5ubm/+bm5v/m5ub/5ubm/+EhIT/Kioq8gQEBHcAAAACAAAAAQAAAEwdHR3Q" "SUlJ4EtLS+BTU1PkhYWF+5WVlf+VlZX/k5OT/4eHh/9eXl7rPz8/4XV1dfST" "k5P/lZWV/5WVlf+Li4v/cnJy9ElJSeA+Pj7gS0tL4EtLS+BLS0vgS0tL4EtL" "S+BLS0vgS0tL4DIyMt4EBAR8AAAADQAAAAAAAAAAAAAABAAAABwAAAAgAAAA" "IAoKCjE3Nze0b29v/W9vb/5QUFDxIiIisBMTE04AAAAiJCQkhF5eXvN4eHj/" "WVlZ+zIyMsQVFRV4AAAAIQAAACAAAAAgAAAAIAAAACAAAAAgAAAAIAAAACAA" "AAAgAAAAHwAAAAsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcH" "ByMrKyuxKysrsQYGBlAAAAAOAAAAAQAAAAAAAAANIiIifjAwMM4RERFoBwcH" "IQAAAAIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAAABUA" "AAAVAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALAAAAHAAAAAQAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP//" "/////////nz///wYP//AAAADgAAAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAQAAAAEAAAABAAAAAQAAAAEAAAABAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAGAAAAD/Agf//x8f///////KAAAABAAAAAg" "AAAAAQAgAAAAAABABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAEmJiYoISEhLgAAAAYAAAAGJycnOhERER0AAAAC" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg4OEjMzM1BGRkZiaGhoy2tra+BO" "Tk6STExMfG1tbeZPT0/NOzs7c0NDQ1dDQ0NXQ0NDV0NDQ1cfHx9AAAAABScn" "J298fHz2l5eX/KKiov6kpKT/nJyc/peXl/2kpKT/oaGh/5aWlvyWlpb7lpaW" "+5aWlvuVlZX7ZmZm6BgYGEpWVlbgt7e3/7+/v/+/v7//v7+//7+/v/+/v7//" "v7+//7+/v/+/v7//v7+//7+/v/+/v7//v7+//62trf4/Pz+8gICA/7q0rv+s" "mIT/q5aB/6uWgP+woJD/v768/8DAwP/AwMD/wMDA/8DAwP/AwMD/wMDA/8DA" "wP+0tLT/VlZW+4GBgf+0qJz/l25F/5ZrQf+ee1n/lGk9/7SonP/AwMD/ubGq" "/6uWgP+rloH/tq2k/8DAwP+/v7//enp68iAgIH+BgYH/r52N/45cKv+lim7/" "mXNN/6KEZv+ffl3/vru5/7Snmv+RYzT/p410/7Som//AwL//v7+//21tbd4H" "BwchgYGB/7y5tf+XbkX/rJmF/6yXhP+delf/mG9H/5pzTf+li3D/nHhU/5ly" "S/+njnX/vry6/7+/v/9ubm7eBwcHIIGBgf/AwMD/rJiF/5VqQP+lim//nnxa" "/6yXgv+Zc0z/t6+m/66bif+UaD3/pIhs/7arof++vr7/bW1t3g4ODiOBgYH/" "wMDA/726t/+tmYb/q5WA/7asov+8uLX/mXFK/6KFZ/+oj3f/mG9H/5ZsQf+r" "loH/v7+//4mJifM2NjaxeHh4/r+/v//AwMD/wMDA/8DAwP/AwMD/wMDA/7ar" "of+qlX//q5WA/6uVgP+rlYD/tKmd/8DAv/+5ubn/VVVV9EVFRb6rq6v/ubm5" "/7m5uf+5ubn/ubm5/7m5uf+5ubn/ubm5/7m5uf+5ubn/ubm5/7m5uf+5ubn/" "nJyc/TAwMJgaGhpMY2Nj14KCguOUlJT6mZmZ/4iIiPKCgoLqmZmZ/paWlv2D" "g4Ppe3t734CAgN+AgIDffn5+301NTcAMDAwqAAAABRERER0fHx8pTk5OmE9P" "T7AzMzNPLy8vQFZWVrpFRUWSJiYmNRcXFyEXFxchFxcXIRcXFyELCwsWAAAA" "AQAAAAAAAAAAAAAAABUVFQwTExMNAAAAAAAAAAENDQ0TAAAABgAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAD//wAAwD8AAAAAAAAAAAAAAAAAAAAAAAAA" "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADkfwAA"!第1类EtherNetIP[Params] Param1 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0012, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "AmpStatus", $ Parameter name "", $ Units string "", $ Help string 0,5,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum1 = 0,"Amp OK", 1,"ADCDAC Fail", 2,"No Cal", 3,"OUT OF RANGE", 4,"Wiring Error", 5,"Exc Error"!Param2 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ADCValue", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param3 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "TensionPercent", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param4 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "CalTension", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param5 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0012, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "ActiveUnits", $ Parameter name "", $ Units string "", $ Help string 0,4,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum5 = 0,"lb", 1,"oz", 2,"g", 3,"kg", 4,"N"!Param6 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0012, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "ActiveRange", $ Parameter name "", $ Units string "", $ Help string 0,25,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum6 = 0,"1", 1,"5", 2,"10", 3,"15", 4,"20", 5,"25", 6,"35", 7,"50", 8,"75", 9,"100", 10,"125", 11,"150", 12,"200", 13,"250", 14,"300", 15,"400", 16,"500", 17,"750", 18,"1000", 19,"1250", 20,"1500", 21,"2000", 22,"2500", 23,"3000", 24,"4000", 25,"5000"!Param7 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0002, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "CalUnits", $ Parameter name "", $ Units string "", $ Help string 0,4,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum7 = 0,"lb", 1,"oz", 2,"g", 3,"kg", 4,"N"!Param8 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0002, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "CalRange", $ Parameter name "", $ Units string "", $ Help string 0,25,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum8 = 0,"1", 1,"5", 2,"10", 3,"15", 4,"20", 5,"25", 6,"35", 7,"50", 8,"75", 9,"100", 10,"125", 11,"150", 12,"200", 13,"250", 14,"300", 15,"400", 16,"500", 17,"750", 18,"1000", 19,"1250", 20,"1500", 21,"2000", 22,"2500", 23,"3000", 24,"4000", 25,"5000"!Param9 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0002, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "Command", $ Parameter name "", $ Units string "", $ Help string 0,7,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum9 = 0,"No Command", 1,"Zero", 2,"Calibrate 10", 3,"Calibrate 25", 4,"Lock", 5,"Unlock", 6,"Reset Cal", 7,"Set Gain"!Param10 = 0, $ Reserved (always 0) 0,"", $ Link path size $ Link path 0x0012, $ Descriptor 0xC6, $ Data type (Unsigned 8–bit integer value) 1, $ Data size "CommandResponse", $ Parameter name "", $ Units string "", $ Help string 0,4,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum10 = 0,"Ready", 1,"Zero OK", 2,"Cal 10 OK", 3,"Cal 25 OK", 4,"Error"!Param11 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xD3, $ Data type (Bit string - 32 bits) 4, $ Data size "STATUS_BITS", $ Parameter name "", $ Units string "", $ Help string 0x00000000,0xFFFFFFFF,0x00000000, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Enum11 = 0,"Amp Locked", 1,"Calibrated at Unit", 2,"Zeroed at Unit", 3,"Reserved 3", 4,"Reserved 4", 5,"Reserved 5", 6,"Reserved 6", 7,"Heartbeat", 8,"Reserved 8", 9,"Reserved 9", 10,"Reserved 10", 11,"Reserved 11", 12,"Reserved 12", 13,"Reserved 13", 14,"Command Processed", 15,"AMP OK"!Param12 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "AMP_STATUS", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param13 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ADC_VALUE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param14 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "TENSION_P", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param15 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "TENSION_C", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param16 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ACTIVE_UNITS", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param17 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ACTIVE_RANGE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param18 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "CAL_UNITS", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param19 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "CAL_RANGE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param20 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "COMMAND", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param21 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "COMMAND_RESP", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param22 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ACTIVE_ZCODE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param23 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0010, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ACTIVE_SCODE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param24 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "ZERO_VALUE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param25 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC4, $ Data type (Signed 32–bit integer value) 4, $ Data size "SPAN_VALUE", $ Parameter name "", $ Units string "", $ Help string -600000,600000,0, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !$ Decimal precision (not used) Param26 = 0, $ Reserved (always 0) ,, $ Link path size $ Link path 0x0000, $ Descriptor 0xC8, $ Data type (Unsigned 32–bit integer value) 4, $ Data size "RPI range", $ Parameter name "", $ Units string "", $ Help string 1000,3200000,10000, $ Minimum value $ Maximum value $ default value ,,,, $ Scaling multiplier (not used) $ Scaling divider (not used) $ Scaling base (not used) $ Scaling offset (not used) ,,,, $ Multiplier link (not used) $ Divisor link (not used) $ Base link (not used) $ Offset link (not used) !十进制精度(非使用)Assem100 = "Producing Data", $ Name , $ Path 40, $ Size 0x0001, $ Descriptor ,, $ Reserved $ Reserved ,Param11, $ Member size ,Param12, $ Member size ,Param13, $ Member size ,Param14, $ Member size ,Param15, $ Member size ,Param16, $ Member size ,Param17, $ Member size ,Param21, $ Member size ,Param22, $ Member size ,Param23!Assem150 = "Consuming Data", $ Name , $ Path 20, $ Size 0x0001, $ Descriptor ,, $ Reserved $ Reserved ,Param18, $ Member size ,Param19, $ Member size ,Param20, $ Member size ,Param24, $ Member size ,Param25!成员引用Object_NameObject_Class_Code=0x06Connection1 = 0x04030002, $ Trigger and transport mask $ Supported transport classes: class 1 $ Supported triggers: cyclic, change of state $ Application type: exclusive-owner $ Direction: client 0x77640405, $ Connection parameters $ O->T supported size type(s): Fixed $ T->O supported size type(s): Fixed $ O->T real time transfer format: 32-bit run/idle header $ T->O real time transfer format: connection is pure data and is modeless $ O->T supported connection type(s): point-to-point $ T->O supported connection type(s): multicast, point-to-point $ O->T supported priorities: low, high, scheduled $ T->O supported priorities: low, high, scheduled Param26,20,Assem150, $ O->T RPI $ O->T size $ O->T format Param26,40,Assem100, $ T->O RPI $ T->O size $ T->O format ,, $ Proxy config size (not used) $ Proxy config format (not used) ,, $ Target config size (no config data) $ Target config format (not used) "Exclusive owner", $ Connection name string "", $ Help string "20 04 24 00 2C 96 2C 64"!路径[能力]最大IOSCunctions=4maxMsgConnections=6TSpec1 = $ 1000 packets per sec @ 1 bytes TxRx, 1, $ Connection size 1000!$ Packets per second TSpec2 = $ 1000 packets per sec @ 256 bytes TxRx, 256, $ Connection size 1000!$打包二Object_Name=Devie级环对象Object_Class_Code=0x47MaxInst=1数表Satistic#Instances=1最大数_Of_Dynic_Instances=0Ring_Supervisorapi类分配数=1实例_属性=1、2、10、12services=0x01x0E实例服务=0x010E冗余_Gateway_Capable=no校正=4Object_Name=TCP/IP接口对象Object_Class_Code=0xF5MaxInst=1数表Satistic#Instances=1最大数_Of_Dynic_Instances=0类分配数=1、2、3、6、7Instance_Attributes = 1, 2, 3, 4, 5, 6, 8, 9, 10, 11, 13!services=0x01x0E实例_services=0x01,0x0E,0x10校正=4Object_Name=Ethernet链接对象Object_Class_Code=0xF6MaxInst=3数数Satisticss=3最大数_Of_Dynic_Instances=0类分配数=1、2、3、6、7Instance_Attributes = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11!services=0x01x0Eservices=0x01,0x0E,0x10,0x4C界面Label1=Port1界面标签2=2端口界面Label3=内向界面类型1=2界面2=2界面Type3=1修订版=1Object_Name=qos对象Object_Class_Code=0x48MaxInst=1数表Satistic#Instances=1最大数_Of_Dynic_Instances=0类分配数=1Instance_Attributes = 1, 2, 3, 4, 5, 6, 7, 8!services=0x0Eservices=0x0E,0x10